X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fspec%2Fi_class.py;h=780decd39376b8318ecb808f4e6efe37644c7448;hb=533aeace8a6542358837d7945df95266ad12720a;hp=966d84338e763463659a4360d10c9d545bd9f169;hpb=af8fa05e16aecd19627edc7113fd4e973cfa2b9f;p=pinmux.git diff --git a/src/spec/i_class.py b/src/spec/i_class.py index 966d843..780decd 100644 --- a/src/spec/i_class.py +++ b/src/spec/i_class.py @@ -8,9 +8,10 @@ from spec.ifaceprint import display_fixed def pinspec(): pinbanks = { - 'A': 28, - 'B': 32, - 'C': 32, + 'A': (28, 4), + 'B': (18, 4), + 'C': (24, 1), + 'D': (92, 1), } fixedpins = { 'CTRL_SYS': [ @@ -60,7 +61,7 @@ def pinspec(): } ps = PinSpec(pinbanks, fixedpins, function_names, - ['lcd', 'jtag']) + ['lcd', 'jtag', 'fb', 'sdr']) # Bank A, 0-27 ps.gpio("", ('A', 0), 0, 0, 28) @@ -86,20 +87,22 @@ def pinspec(): # see comment in spec.interfaces.PinGen, this is complicated. flexspec = { - 'FB_TS': ('FB_ALE', 2), + #'FB_TS': ('FB_ALE', 2), # commented out for now 'FB_CS2': ('FB_BWE2', 2), - 'FB_A0': ('FB_BWE2', 3), + 'FB_AD0': ('FB_BWE2', 3), 'FB_CS3': ('FB_BWE3', 2), - 'FB_A1': ('FB_BWE3', 3), + 'FB_AD1': ('FB_BWE3', 3), 'FB_TBST': ('FB_OE', 2), 'FB_TSIZ0': ('FB_BWE0', 2), 'FB_TSIZ1': ('FB_BWE1', 2), } - ps.gpio("", ('B', 0), 0, 0, 32) + ps.gpio("", ('B', 0), 0, 0, 18) ps.flexbus1("", ('B', 0), 1, spec=flexspec) - ps.gpio("", ('C', 0), 0, 0, 32) - ps.flexbus2("", ('C', 0), 1) + ps.flexbus2("", ('C', 0), 0) + + ps.sdram1("", ('D', 0), 0) + ps.sdram3("", ('D', 35), 0) # Scenarios below can be spec'd out as either "find first interface" # by name/number e.g. SPI1, or as "find in bank/mux" which must be