X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fspec%2Fi_class.py;h=780decd39376b8318ecb808f4e6efe37644c7448;hb=533aeace8a6542358837d7945df95266ad12720a;hp=e1189898545367de88aa0064b164d02c4a9c3189;hpb=9978b689e575433a9e671dc95780caccda4700d0;p=pinmux.git diff --git a/src/spec/i_class.py b/src/spec/i_class.py index e118989..780decd 100644 --- a/src/spec/i_class.py +++ b/src/spec/i_class.py @@ -8,9 +8,10 @@ from spec.ifaceprint import display_fixed def pinspec(): pinbanks = { - 'A': 28, - 'B': 32, - 'C': 24, + 'A': (28, 4), + 'B': (18, 4), + 'C': (24, 1), + 'D': (92, 1), } fixedpins = { 'CTRL_SYS': [ @@ -60,7 +61,7 @@ def pinspec(): } ps = PinSpec(pinbanks, fixedpins, function_names, - ['lcd', 'jtag', 'fb' ]) + ['lcd', 'jtag', 'fb', 'sdr']) # Bank A, 0-27 ps.gpio("", ('A', 0), 0, 0, 28) @@ -86,7 +87,7 @@ def pinspec(): # see comment in spec.interfaces.PinGen, this is complicated. flexspec = { - 'FB_TS': ('FB_ALE', 2), + #'FB_TS': ('FB_ALE', 2), # commented out for now 'FB_CS2': ('FB_BWE2', 2), 'FB_AD0': ('FB_BWE2', 3), 'FB_CS3': ('FB_BWE3', 2), @@ -98,8 +99,10 @@ def pinspec(): ps.gpio("", ('B', 0), 0, 0, 18) ps.flexbus1("", ('B', 0), 1, spec=flexspec) - ps.gpio("", ('C', 0), 0, 0, 24) - ps.flexbus2("", ('C', 0), 1) + ps.flexbus2("", ('C', 0), 0) + + ps.sdram1("", ('D', 0), 0) + ps.sdram3("", ('D', 35), 0) # Scenarios below can be spec'd out as either "find first interface" # by name/number e.g. SPI1, or as "find in bank/mux" which must be