Added GLITCH_FILTER parameter to GP_DELAY
authorAndrew Zonenberg <azonenberg@drawersteak.com>
Wed, 19 Oct 2016 02:53:19 +0000 (19:53 -0700)
committerAndrew Zonenberg <azonenberg@drawersteak.com>
Wed, 19 Oct 2016 02:53:19 +0000 (19:53 -0700)
commit091d32b563ecdd9e5a3377811fdd2411dc9fd1bc
treecef80f02f8b8e51ae48d26cac2a88e1576c32508
parenta818472f0c1a5baa8fb6ba6f4b9a4340058e3616
Added GLITCH_FILTER parameter to GP_DELAY
techlibs/greenpak4/cells_sim.v