verilog: do not warn for attributes on null statements
authorEddie Hung <eddie@fpgeh.com>
Thu, 14 May 2020 17:46:40 +0000 (10:46 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 25 May 2020 14:36:53 +0000 (07:36 -0700)
commit1c117ac0236517d0d7150dcc8d1fed19288bd692
tree9b9e915a1cb31442b23a61b42adf6e072e9f122c
parent29d84339bf9ec8f1d2be3fa20f81843f3ee08324
verilog: do not warn for attributes on null statements
frontends/verilog/verilog_parser.y
tests/verilog/bug2037.ys