micropython only requires 512kB of BRAM
authorAnton Blanchard <anton@linux.ibm.com>
Tue, 27 Aug 2019 02:02:00 +0000 (12:02 +1000)
committerAnton Blanchard <anton@ozlabs.org>
Tue, 27 Aug 2019 02:02:00 +0000 (12:02 +1000)
commit1fa0b332ca241f4ae253fe29326147cf3ebcdade
treefc88edce9b54e3164ef0aeb6886243f1a3638709
parent1aadee281d067e8afaea44f4fa90035b733d787b
micropython only requires 512kB of BRAM

Mikey points out that our stack grows down from 512kB and our
heap is below that too, so we can reduce our BRAM requirements,
which allowing some smaller FPGA boards to work. Not sure why
I thought we were using memory between 512kB and 1MB.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
core_tb.vhdl
fpga/toplevel.vhd