Extend primegen example
authorClifford Wolf <clifford@clifford.at>
Wed, 7 Mar 2018 22:10:53 +0000 (23:10 +0100)
committerClifford Wolf <clifford@clifford.at>
Wed, 7 Mar 2018 22:10:53 +0000 (23:10 +0100)
commit2c13fbefe67adb3a4adb8a6f283b198abb8a43a0
tree4d494cd83ca2760e68bebdbcee855c3000914ad8
parentec38b0b8417f46a67bf9895d91905d5a30a5a63d
Extend primegen example

Signed-off-by: Clifford Wolf <clifford@clifford.at>
docs/examples/demos/.gitignore [new file with mode: 0644]
docs/examples/puzzles/.gitignore
docs/examples/puzzles/primegen.sby
docs/examples/puzzles/primegen.v