Extract from nMigen.
authorwhitequark <whitequark@whitequark.org>
Tue, 4 Jun 2019 09:47:29 +0000 (09:47 +0000)
committerwhitequark <whitequark@whitequark.org>
Tue, 4 Jun 2019 09:53:10 +0000 (09:53 +0000)
commit50403d6846ede8fac502fc919bae91d74875cb72
tree14cf05ef513abea9787fb8a65069f16cb261db5b
Extract from nMigen.
14 files changed:
.gitattributes [new file with mode: 0644]
.gitignore [new file with mode: 0644]
LICENSE.txt [new file with mode: 0644]
README.md [new file with mode: 0644]
nmigen_boards/__init__.py [new file with mode: 0644]
nmigen_boards/_version.py [new file with mode: 0644]
nmigen_boards/ext/__init__.py [new file with mode: 0644]
nmigen_boards/ext/pmod.py [new file with mode: 0644]
nmigen_boards/ice40_hx1k_blink_evn.py [new file with mode: 0644]
nmigen_boards/icestick.py [new file with mode: 0644]
nmigen_boards/tinyfpga_bx.py [new file with mode: 0644]
setup.cfg [new file with mode: 0644]
setup.py [new file with mode: 0644]
versioneer.py [new file with mode: 0644]