Fix ghdl warning due to variable shadowing in icache
authorAnton Blanchard <anton@linux.ibm.com>
Sun, 6 Dec 2020 20:17:38 +0000 (07:17 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Sun, 6 Dec 2020 20:21:15 +0000 (07:21 +1100)
commit605010e33d2153f0f499ed508f66933945ae53f4
tree6e3b512186ecfac25becdfa9e2ed10f0e5473e63
parent16da9b5ba7702e8d34f6354b86b36c7e9bf471ea
Fix ghdl warning due to variable shadowing in icache

Fix a couple of ghdl warnings:

icache.vhdl:387:21:warning: declaration of "i" hides constant "i" [-Whide]
icache.vhdl:400:17:warning: declaration of "i" hides constant "i" [-Whide]

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
icache.vhdl