another sync to cut latency
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 13 Aug 2020 15:49:07 +0000 (16:49 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 13 Aug 2020 15:49:41 +0000 (16:49 +0100)
commit6cbdbe4cd6f5834c784b201b7bb9a0ca71fd8675
tree9717b98a240cb268e677774d160bf5543cf7a302
parentd40ee15778af768c98202fb0a5295205b55b6890
another sync to cut latency
src/soc/experiment/compalu_multi.py