soc: Work around compile error with ghdl 0.37-dev
authorPaul Mackerras <paulus@ozlabs.org>
Thu, 14 May 2020 05:43:33 +0000 (15:43 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Thu, 14 May 2020 05:43:33 +0000 (15:43 +1000)
commit941499133e74eb786a27ea84e430f87af2c6f511
treec84b497e6a99c074d7ea413a21c0f18ea99bddf5
parentc164a2f4eaa5cce2494d3716b2a81e51178ccda7
soc: Work around compile error with ghdl 0.37-dev

The ghdl packaged in Fedora 31 doesn't like a port map of the form
"rst => rst or core_reset", so this works around the problem by
doing the OR in a separate statement.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
soc.vhdl