logical: Only do output inversion for OP_AND, OP_OR and OP_XOR
authorPaul Mackerras <paulus@ozlabs.org>
Fri, 19 Jun 2020 07:13:06 +0000 (17:13 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Mon, 29 Jun 2020 23:07:41 +0000 (09:07 +1000)
commit9b40b5a77b2ecd2d6a6317e624fc7b4aff7bb7c5
tree330365e0718fe0c0ddd4e337494a8807e0c66fa0
parentc2da82764f746745ab00d75bba9dd66b4c40c98d
logical: Only do output inversion for OP_AND, OP_OR and OP_XOR

It's not needed for the other ops (popcnt, parity, etc.) and the
logical unit shows up as a critical path from time to time.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
logical.vhdl