Merge pull request #357 from antonblanchard/xics-warning
authorMichael Neuling <mikey@neuling.org>
Tue, 15 Mar 2022 23:48:59 +0000 (10:48 +1100)
committerGitHub <noreply@github.com>
Tue, 15 Mar 2022 23:48:59 +0000 (10:48 +1100)
commit9b96ab730cd4279d164dfc6f44d141369c9e47e1
tree54d9253b6daf0a1f99ccf956e39c222517fe3552
parentf01f3d233ae4de595fa29beb305d00ce960f041e
parent00bf0af21c74a1727ed7786baa3f186ffd9d80d5
Merge pull request #357 from antonblanchard/xics-warning

xics: Fix warning when comparing two std_ulogic_vectors