Fix handling of unique/unique0/priority cases in the frontend.
authorMarcelina Kościelnicka <mwk@0x04.net>
Mon, 22 Feb 2021 18:19:42 +0000 (19:19 +0100)
committerMarcelina Kościelnicka <mwk@0x04.net>
Thu, 25 Feb 2021 20:53:58 +0000 (21:53 +0100)
commita651204efa58b4a90ff568735e8d4f4540b92791
tree872bd21d055ad6e536edc7eb3313f553b280c9b5
parentdcd9f0af23f9b580b044890452ecf1aef59bbb85
Fix handling of unique/unique0/priority cases in the frontend.

Basically:

- priority converts to (* full_case *)
- unique0 converts to (* parallel_case *)
- unique converts to (* parallel_case, full_case *)

Fixes #2596.
frontends/verilog/verilog_lexer.l
frontends/verilog/verilog_parser.y