add icarus simulation of ls2 with DDR3 and ECP5 models
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 28 Feb 2022 17:25:04 +0000 (17:25 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 28 Feb 2022 17:25:04 +0000 (17:25 +0000)
commitac6235986aa79c093452a085cf94c4c103d8eed5
tree5146c56123604fc01fb6d7e728e74f1af0a7d962
parent495b0a058993586948d5c22a577a13142cc7a12a
add icarus simulation of ls2 with DDR3 and ECP5 models
DDRDLLA.patch [new file with mode: 0644]
dram_model/2048Mb_ddr3_parameters.vh [new file with mode: 0644]
dram_model/ddr3.v [new file with mode: 0644]
runsimsoc2.sh [new file with mode: 0755]
simsoc.ys [new file with mode: 0644]
src/simsoctb.v [new file with mode: 0644]