decode1: Add a stash buffer to the output
authorPaul Mackerras <paulus@ozlabs.org>
Sun, 14 Jun 2020 23:28:03 +0000 (09:28 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Sun, 14 Jun 2020 23:28:03 +0000 (09:28 +1000)
commitb3799c432ba51b4c2aceeefbe9de209e8e935362
tree14296b6c1a9ed159f053a90f4bba0327448fddf7
parenta4500c63a281a57752edbfdd4d9033974a98c8c8
decode1: Add a stash buffer to the output

This means that the busy signal from execute1 (which can be driven
combinatorially from mmu or dcache) now stops at decode1 and doesn't
go on to icache or fetch1.  This helps with timing.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
core.vhdl
decode1.vhdl