opt_merge: Add `-keepdc` option required for formal verification
authorJannis Harder <me@jix.one>
Fri, 1 Apr 2022 19:03:20 +0000 (21:03 +0200)
committerJannis Harder <me@jix.one>
Fri, 1 Apr 2022 19:03:20 +0000 (21:03 +0200)
commitca5b910296c05c95f3bc7f2d1d2b7db19d6328e2
tree9cf51a65ac4706c2ece7d86a290aea4946b8bbde
parent2ec4af56e6ec83fe320cd7af958020ea56e1d9ab
opt_merge: Add `-keepdc` option required for formal verification

The `-keepdc` option prevents merging flipflops with dont-care bits in
their initial value, as, in general, this is not a valid transform for
formal verification.

The keepdc option of `opt` is passed along to `opt_merge` now.
passes/opt/opt.cc
passes/opt/opt_merge.cc
tests/opt/opt_merge_init.ys