(no commit message)
authorlkcl <lkcl@web>
Sun, 14 Aug 2022 11:55:44 +0000 (12:55 +0100)
committerIkiWiki <ikiwiki.info>
Sun, 14 Aug 2022 11:55:44 +0000 (12:55 +0100)
HDL_workflow/microwatt.mdwn

index 821177c2fb863d4d9a132c0e8f7d0d137880e630..84050a1af0b50be3114f69c3ca57cd6a81744319 100644 (file)
@@ -18,7 +18,7 @@ After installing ghdl-yosys-plugin use schroot to switch environment
 
     schroot -c bullseye_ghdl
     git clone https://git.libre-soc.org/git/microwatt.git
-    git checkout -b verilator_trace
+    git checkout verilator_trace
     export FPGA_TARGET=verilator
     make microwatt-verilator