Fixed shift input signal name and added note about color properties
authorAndrey Miroshnikov <andrey@technepisteme.xyz>
Tue, 15 Feb 2022 11:39:03 +0000 (11:39 +0000)
committerAndrey Miroshnikov <andrey@technepisteme.xyz>
Tue, 15 Feb 2022 11:39:03 +0000 (11:39 +0000)
docs/gtkwave_tutorial.mdwn

index e2a9296311f61d53b079c4d0ef2369effc19518d..d2832e3787dc9767df489b6a40aa49bd23048394 100644 (file)
@@ -50,7 +50,7 @@ For example:
     traces = [
         'clk',
         # prev port
-        'op__sdir', 'p_i_data[7:0]', 'p_shift_i[7:0]', 'p_i_valid', 'p_o_ready',
+        'op__sdir', 'p_i_data[7:0]', 'p_i_shift[7:0]', 'p_i_valid', 'p_o_ready',
         # internal signals
         'fsm_state', 'count[3:0]', 'shift_reg[7:0]',
         # next port
@@ -77,7 +77,7 @@ Notice:
 1. No need to press the "zoom to fit" button. The default zoom level is
 adequate for a 1 MHz clock.
 2. If you made a mistake, there will be no warning. The trace will
-simply not appear
+simply not appear (*the properties of the dropped trace will be given to the next signal, be careful*)
 3. The reload button will only reload the VCD file, not the GTKW document. If you regenerate the document, you need to close and open a
 new tab, or exit GTKWave and run again: ``gtkwave simple.gtkw``
 4. If you feel tired of seeing the GTKWave splash window every time,
@@ -134,7 +134,7 @@ Let's add more color:
         # prev port
         ('op__sdir', 'in'),
         ('p_i_data[7:0]', 'in'),
-        ('p_shift_i[7:0]', 'in'),
+        ('p_i_shift[7:0]', 'in'),
         ('p_i_valid', 'in'),
         ('p_o_ready', 'out'),
         # internal signals