Bugfix in "hierarchy -check"
authorClifford Wolf <clifford@clifford.at>
Wed, 2 Nov 2016 19:09:57 +0000 (20:09 +0100)
committerClifford Wolf <clifford@clifford.at>
Wed, 2 Nov 2016 19:09:57 +0000 (20:09 +0100)
passes/hierarchy/hierarchy.cc

index 9db407c7aac71ac4c04de51f3ae32422cef0c4d2..e21a7a4e38ae9f6701ffbc23f3a68caeb00e10f8 100644 (file)
@@ -213,7 +213,7 @@ bool expand_module(RTLIL::Design *design, RTLIL::Module *module, bool flag_check
                                        log_error("Module `%s' referenced in module `%s' in cell `%s' does not have a port named '%s'.\n",
                                                        log_id(cell->type), log_id(module), log_id(cell), log_id(conn.first));
                        for (auto &param : cell->parameters)
-                               if (mod->avail_parameters.count(param.first) == 0)
+                               if (mod->avail_parameters.count(param.first) == 0 && param.first[0] != '$')
                                        log_error("Module `%s' referenced in module `%s' in cell `%s' does not have a parameter named '%s'.\n",
                                                        log_id(cell->type), log_id(module), log_id(cell), log_id(param.first));
                }