argh ulx3s is 85k not um-85k
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 18:49:38 +0000 (18:49 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 18:49:38 +0000 (18:49 +0000)
Makefile

index 648244917a168301a9574cea14b7706fdc7ce560..b939620f847f6285891512c7c77c7b4865f276ef 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -165,7 +165,7 @@ CLK_INPUT=25000000
 CLK_FREQUENCY=25000000
 LPF=constraints/ulx3s.lpf
 PACKAGE=CABGA381
-NEXTPNR_FLAGS=--um-85k --freq 25
+NEXTPNR_FLAGS=--85k --freq 25
 OPENOCD_JTAG_CONFIG=openocd/ulx3s.cfg
 OPENOCD_DEVICE_CONFIG=openocd/LFE5U-85F.cfg
 endif