remove PWMWIDTH define
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Tue, 17 Jul 2018 05:35:44 +0000 (06:35 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Tue, 17 Jul 2018 05:35:44 +0000 (06:35 +0100)
src/bsv/bsv_lib/pwm.bsv

index 55e42927533bf94c943e06aba6a2ebb0ded1a07b..f0af291a8f82bc0cdb8e3fb3991a1fea9a9c3298 100644 (file)
@@ -32,7 +32,6 @@ Code inpired by the pwm module at: https://github.com/freecores/pwm
 
 */
 package pwm;
-  `define PWMWIDTH 32
   /*=== Project imports ==*/
   import Clocks::*;
   /*======================*/