refer to gitlab nmigen
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 18 Feb 2022 21:22:45 +0000 (21:22 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 18 Feb 2022 21:22:49 +0000 (21:22 +0000)
3d_gpu/architecture/dynamic_simd.mdwn
HDL_workflow.mdwn
docs/notes_on_formal_proofs.mdwn

index 1ffdd3df7e85eca5607ec7755e7d42d1b99dcae2..5529e32dcf71230216600bf59284103c510ee7ce 100644 (file)
@@ -289,7 +289,7 @@ Analysis of the internals of nmigen shows that m.If, m.Else, m.FSM and m.Switch
 function only ast.Mux and other Type 1 (AST) "global" functions
 similar to python operator are used.  The hypothesis is therefore proposed that if `Value.mux` is added in an identical way to how `operator.add` calls `__add__` this may turn out to be all that (or most of what) is needed.
 
-<https://github.com/nmigen/nmigen/blob/59ef6e6a1c4e389a41148554f2dd492328820ecd/nmigen/hdl/dsl.py#L447>
+<https://gitlab.com/nmigen/nmigen/blob/59ef6e6a1c4e389a41148554f2dd492328820ecd/nmigen/hdl/dsl.py#L447>
 
 A deeper analysis shows that dsl.Module uses explicit Value.cast on its
 If, Elif, and Switch clauses. Overriding that and allowing a cast to
index 45a0cb13ce86972c7d081281b1b86a35a101c0d1..32660164f18974345ea24dcd883ef4413944801b 100644 (file)
@@ -391,7 +391,7 @@ If "pip3 list" shows that nmigen has been auto-installed please remove it**
 
 * mkdir ~/src
 * cd !$
-* git clone https://github.com/nmigen/nmigen.git
+* git clone https://gitlab.com/nmigen/nmigen.git
 * cd nmigen
 * sudo bash
 * python3 setup.py develop
@@ -1095,6 +1095,6 @@ Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
   and walks not just through simulation, it takes you through using
   gtkwave as well.
 * There exist several nmigen examples which are also executable
-  <https://github.com/nmigen/nmigen/tree/master/examples/> exactly as
+  <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
   described in the above tutorial (python3 filename.py -h)
 * More nmigen tutorials at [[learning_nmigen]]
index 1186868c8b49d6bb73f9c851709f6f9ea9a7a075..ec3e9ae89cda5f5eb0bf29c81837af10ee2a4d5c 100644 (file)
@@ -65,7 +65,7 @@ so I won't spend any more time on this.
 #### `CompALUOpSubset`
 
 `CompALUOpSubset` is, ultimately, an `nmigen`
-[record](https://github.com/nmigen/nmigen/blob/master/nmigen/hdl/rec.py#L89)
+[record](https://gitlab.com/nmigen/nmigen/blob/master/nmigen/hdl/rec.py#L89)
 which contains a number of fields related to telling the pipeline stage
 *what to do* and providing all the information it needs in order *to*
 "do".  (Hence the *Op* in the class name.)  I won't disclose