add comments for aleksander
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Feb 2019 11:34:24 +0000 (11:34 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Feb 2019 11:34:24 +0000 (11:34 +0000)
src/add/nmigen_add_experiment.py

index 2db9c27ba0a4886ec4a64d9e2aac6fe7a998f14c..e9ffb7238d0bc7a7d82415f57560e2482ab884eb 100644 (file)
@@ -282,6 +282,17 @@ class FPADD:
                     with m.If(z.m == 0xffffff): # all 1s
                         m.d.sync += z.e.eq(z.e + 1) # exponent rounds up
 
+            # ******
+            # pack stage
+
+            """ TODO: see if z.create can be used *later*.  convert
+                verilog first (and commit), *second* phase, convert nmigen
+                code to use FPNum.create() (as a separate commit)
+            """
+
+            # ******
+            # put_z stage
+
         return m
 
 """