tidyup output
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 22 Jul 2018 06:14:34 +0000 (07:14 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 22 Jul 2018 06:14:34 +0000 (07:14 +0100)
src/bsv/bsv_lib/slow_peripherals_template.bsv
src/bsv/peripheral_gen.py

index 76164a36035a31316f28de05a6bbd3f5627663db..b49f8078b3340206bbdeaeed8ddd342ed321953b 100644 (file)
@@ -175,7 +175,7 @@ package slow_peripherals;
     end
                                
 `endif
-                       /*======================================================= */
+        /*======================================================= */
 
                /* ===== interface definition =======*/
                interface axi_slave=bridge.axi_slave;
@@ -189,7 +189,7 @@ package slow_peripherals;
 {12}
 `endif
                interface SP_dedicated_ios slow_ios;
-/* template for dedicated peripherals
+        /* template for dedicated peripherals
                        `ifdef UART0
                                interface uart0_coe=uart0.coe_rs232;
                        `endif
@@ -212,16 +212,14 @@ package slow_peripherals;
                                interface axiexp1_out=axiexp1.slave_out;
                                interface axiexp1_in=axiexp1.slave_in;
                        `endif
-      `ifdef PWM_AXI4Lite
-        interface pwm_o = pwm_bus.pwm_io;
-      `endif
-*/
+            `ifdef PWM_AXI4Lite
+                interface pwm_o = pwm_bus.pwm_io;
+            `endif
+       */
                endinterface
-    // NEEL EDIT
-    interface iocell_side=pinmux.iocell_side;
-    interface pad_config0= gpioa.pad_config;
+        interface iocell_side=pinmux.iocell_side;
+        interface pad_config0= gpioa.pad_config;
 {9}
-    // NEEL EDIT OVER
                /*===================================*/
        endmodule
 endpackage
index 5d2d98aa39d5341ca426cfe6437f2b464f6992aa..15d029576747dec3ab33b28f24f349c212bbd056 100644 (file)
@@ -453,16 +453,16 @@ class jtag(PBase):
         return jtag_method_template # bit of a lazy hack this...
 
 jtag_method_template = """\
-    method  Action jtag_ms (Bit#(1) in);
-      pinmux.peripheral_side.jtag_ms(in);
-    endmethod
-    method  Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di;
-    method  Action jtag_do (Bit#(1) in);
-      pinmux.peripheral_side.jtag_do(in);
-    endmethod
-    method  Action jtag_ck (Bit#(1) in);
-      pinmux.peripheral_side.jtag_ck(in);
-    endmethod
+        method  Action jtag_ms (Bit#(1) in);
+          pinmux.peripheral_side.jtag_ms(in);
+        endmethod
+        method  Bit#(1) jtag_di=pinmux.peripheral_side.jtag_di;
+        method  Action jtag_do (Bit#(1) in);
+          pinmux.peripheral_side.jtag_do(in);
+        endmethod
+        method  Action jtag_ck (Bit#(1) in);
+          pinmux.peripheral_side.jtag_ck(in);
+        endmethod
 """
 
 class sdmmc(PBase):