Switch soc to use std_ulogic
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Tue, 10 Sep 2019 15:59:10 +0000 (16:59 +0100)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Tue, 10 Sep 2019 17:28:04 +0000 (18:28 +0100)
Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
soc.vhdl

index 0b8614ccb6c805ee984f5d38930faacb1eb9463a..045679f7fc429c569d7c51213568b4a67b5df9c7 100644 (file)
--- a/soc.vhdl
+++ b/soc.vhdl
@@ -20,11 +20,11 @@ entity soc is
        );
     port(
        rst          : in  std_ulogic;
-       system_clk   : in  std_logic;
+       system_clk   : in  std_ulogic;
 
        -- UART0 signals:
-       uart0_txd    : out std_logic;
-       uart0_rxd    : in  std_logic
+       uart0_txd    : out std_ulogic;
+       uart0_rxd    : in  std_ulogic
        );
 end entity soc;
 
@@ -43,7 +43,7 @@ architecture behaviour of soc is
     -- UART0 signals:
     signal wb_uart0_in   : wishbone_master_out;
     signal wb_uart0_out  : wishbone_slave_out;
-    signal uart_dat8     : std_logic_vector(7 downto 0);
+    signal uart_dat8     : std_ulogic_vector(7 downto 0);
 
     -- Main memory signals:
     signal wb_bram_in     : wishbone_master_out;