whoops wrong constraints file
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 13:22:12 +0000 (13:22 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 13:22:12 +0000 (13:22 +0000)
Makefile

index c950b762ad625870f95c726e0ba9954663f6b787..22bd160ddbae1518a1869f3f80d239d7e98e3323 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -161,7 +161,7 @@ ifeq ($(FPGA_TARGET), ULX3S)
 RESET_LOW=true
 CLK_INPUT=25000000
 CLK_FREQUENCY=25000000
-LPF=constraints/orange-crab.lpf
+LPF=constraints/ulx3s.lpf
 PACKAGE=CABGA381
 NEXTPNR_FLAGS=--um5g-85k --freq 25
 OPENOCD_JTAG_CONFIG=openocd/ulx3s.cfg
@@ -258,7 +258,8 @@ microwatt-verilator: microwatt.v verilator/microwatt-verilator.cpp verilator/uar
        @cp -f obj_dir/microwatt-verilator microwatt-verilator
 
 microwatt_out.config: microwatt.json $(LPF)
-       $(NEXTPNR) --json $< --lpf $(LPF) --textcfg $@.tmp $(NEXTPNR_FLAGS) --package $(PACKAGE)
+       $(NEXTPNR) --json $< --lpf $(LPF) --lpf-allow-unconstrained \
+                    --textcfg $@.tmp $(NEXTPNR_FLAGS) --package $(PACKAGE)
        mv -f $@.tmp $@
 
 microwatt.bit: microwatt_out.config