add comments
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Feb 2019 09:42:00 +0000 (09:42 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 14 Feb 2019 09:42:00 +0000 (09:42 +0000)
src/add/nmigen_add_experiment.py

index e475e6bae83effdc10fb4ba64c0e95fd58495af9..bfc1c878c77ee03c5ccb2ad563c24e4615ce76fc 100644 (file)
@@ -198,7 +198,9 @@ class FPADD:
                     m.next = "add_0"
 
             # ******
-            # First stage of add
+            # First stage of add.  covers same-sign (add) and subtract
+            # special-casing when mantissas are greater or equal, to
+            # give greatest accuracy.
 
             with m.State("add_0"):
                 m.next = "add_1"