use get_op for get_b state too
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 16 Feb 2019 08:55:09 +0000 (08:55 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 16 Feb 2019 08:55:09 +0000 (08:55 +0000)
src/add/nmigen_add_experiment.py

index 6f9f3a7e50f38e764bae3e62f5da03348d28e042..5b648b9ac5396e452c230b5517a7dba7e39262cc 100644 (file)
@@ -148,14 +148,7 @@ class FPADD:
             # gets operand b
 
             with m.State("get_b"):
-                with m.If((self.in_b.ack) & (self.in_b.stb)):
-                    m.next = "unpack"
-                    m.d.sync += [
-                        b.v.eq(self.in_b.v),
-                        self.in_b.ack.eq(0)
-                    ]
-                with m.Else():
-                    m.d.sync += self.in_b.ack.eq(1)
+                self.get_op(m, self.in_b, b.v, "unpack")
 
             # ******
             # unpacks operands into sign, mantissa and exponent