Enhance hello_world
authorGustavo Romero <gustavo.romero@protonmail.com>
Mon, 13 Jul 2020 19:54:32 +0000 (16:54 -0300)
committerGustavo Romero <gromero@protonmail.com>
Mon, 13 Jul 2020 20:11:14 +0000 (17:11 -0300)
This commit enhances hello_world.bin output by printing
a ASCII lightbulb, which turns out to be Microwatt's logo,
instead of simply a "Hello World" text message.

Signed-off-by: Gustavo Romero <gustavo.romero@protonmail.com>
hello_world/hello_world.bin
hello_world/hello_world.c
hello_world/hello_world.elf
hello_world/hello_world.hex

index 43f519a3aeaee23ef67cdde25e7b56cf7438d6ae..abd7cd0148087df8dbdb2680663f1630eaab3057 100755 (executable)
Binary files a/hello_world/hello_world.bin and b/hello_world/hello_world.bin differ
index 30128198a1d9be470e8702ae455bc234f527aea4..0f08631fe4779486ff78e9fc0ffec500d8a489b8 100644 (file)
@@ -3,13 +3,23 @@
 
 #include "console.h"
 
-#define HELLO_WORLD "Hello World\n"
+static char mw_logo[] =
+
+"\n"
+"   .oOOo.     \n"
+" .\"      \". \n"
+" ;  .mw.  ;   Microwatt, it works.\n"
+"  . '  ' .    \n"
+"   \\ || /    \n"
+"    ;..;      \n"
+"    ;..;      \n"
+"    `ww'   \n";
 
 int main(void)
 {
        console_init();
 
-       puts(HELLO_WORLD);
+       puts(mw_logo);
 
        while (1) {
                unsigned char c = getchar();
index bd7924a1a57e957132f52b22f355c6cfecf11e19..45f5a3d0f5c2425b23c174a7340300d6082022a9 100755 (executable)
Binary files a/hello_world/hello_world.elf and b/hello_world/hello_world.elf differ
index 91ceaacf63d6e5e7963857e08e957c4dec953f4c..5d0d1a0f1d1889829d1dea1211aa2e33eac73571 100644 (file)
@@ -516,8 +516,8 @@ e8010010ebc1fff0
 7c0802a63842a000
 f8010010fbe1fff8
 480001f5f821ffd1
-3c62ffff60000000
-4800015d386373c0
+6000000060000000
+4800015d38628000
 4800004960000000
 7c7f1b7860000000
 57ff063e5463063e
@@ -528,8 +528,8 @@ f8010010fbe1fff8
 0100000000000000
 3c40000100000180
 600000003842a000
-6000000039228000
-8929000039428008
+6000000039228088
+8929000039428090
 418200302c090000
 39290014e92a0000
 7d204eaa7c0004ac
@@ -545,8 +545,8 @@ e86a00004082ffec
 0000000000000000
 3c40000100000000
 600000003842a000
-6000000039228000
-8929000039428008
+6000000039228088
+8929000039428090
 4182002c2c090000
 39290014e92a0000
 7d204eaa7c0004ac
@@ -588,25 +588,25 @@ f821ffd1f8010010
 7929002061290040
 7d204eea7c0004ac
 600000003d40c000
-38e2800060000000
+38e2808860000000
 794a0020614a2000
-3d40001cf9428008
+3d40001cf9428090
 7d085392614a2000
 794a0fc3792af804
 3920000141820080
 614a200c3d40c000
 794a002099270000
 7c0004ac3920ff80
-e92280087d2057aa
+e92280907d2057aa
 7d004faa7c0004ac
-7908c202e9228008
+7908c202e9228090
 7c0004ac39290004
-e92280087d004faa
+e92280907d004faa
 3929000c39400003
 7d404faa7c0004ac
-39290010e9228008
+39290010e9228090
 7d404faa7c0004ac
-39400007e9228008
+39400007e9228090
 7c0004ac39290008
 4e8000207d404faa
 994700003d20c000
@@ -616,9 +616,9 @@ e92280087d004faa
 0000000000000000
 3c40000100000000
 600000003842a000
-2c24000039228000
+2c24000039228088
 2f89000089290000
-e922800860000000
+e922809060000000
 41820024419e0030
 2c23000039400002
 614a000141820008
@@ -629,33 +629,413 @@ e922800860000000
 7c0004ac39290020
 4e8000207c604fea
 0000000000000000
-0000000000000000
-6f57206f6c6c6548
-000000000a646c72
-0000000000000010
-0141780400527a01
-0000001800010c1b
-fffffc2800000018
-300e460000000070
-000000019f7e4111
-0000000000000010
-0141780400527a01
-0000001000010c1b
-fffffc6800000018
-0000000000000088
-0000002c00000010
-00000084fffffcdc
-0000002800000000
-fffffd4c00000040
-4109450000000060
-300e43029e019f00
-42000e0a447e4111
-0000000b4106dedf
-0000006c00000010
-00000028fffffd80
 0000001000000000
-fffffd9400000080
-000000000000012c
-0000009400000010
-00000078fffffeac
+00527a0100000000
+00010c1b01417804
+0000001800000018
+00000070fffffc3c
+9f7e4111300e4600
+0000001000000001
+00527a0100000000
+00010c1b01417804
+0000001800000010
+00000088fffffc7c
+0000001000000000
+fffffcf00000002c
+0000000000000084
+0000004000000028
+00000060fffffd60
+9e019f0041094500
+447e4111300e4302
+4106dedf42000e0a
+000000100000000b
+fffffd940000006c
+0000000000000028
+0000008000000010
+0000012cfffffda8
+0000001000000000
+fffffec000000094
+0000000000000078
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
 0000000000000000
+4f4f6f2e2020200a
+0a20202020202e6f
+2020202020222e20
+203b200a202e2220
+3b20202e776d2e20
+6f7263694d202020
+7469202c74746177
+0a2e736b726f7720
+27202027202e2020
+200a202020202e20
+2f207c7c205c2020
+2020200a20202020
+2020203b2e2e3b20
+202020200a202020
+202020203b2e2e3b
+60202020200a2020
+000a202020277777