rename FPModBase* to PipeModBase*
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 31 Jul 2019 14:27:19 +0000 (15:27 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 31 Jul 2019 14:27:19 +0000 (15:27 +0100)
25 files changed:
src/ieee754/fclass/fclass.py
src/ieee754/fcvt/downsize.py
src/ieee754/fcvt/int2float.py
src/ieee754/fcvt/upsize.py
src/ieee754/fpadd/add0.py
src/ieee754/fpadd/add1.py
src/ieee754/fpadd/addstages.py
src/ieee754/fpadd/align.py
src/ieee754/fpadd/specialcases.py
src/ieee754/fpcommon/corrections.py
src/ieee754/fpcommon/denorm.py
src/ieee754/fpcommon/normtopack.py
src/ieee754/fpcommon/pack.py
src/ieee754/fpcommon/postnormalise.py
src/ieee754/fpcommon/roundz.py
src/ieee754/fpdiv/div0.py
src/ieee754/fpdiv/div2.py
src/ieee754/fpdiv/divstages.py
src/ieee754/fpdiv/specialcases.py
src/ieee754/fpmul/align.py
src/ieee754/fpmul/mul0.py
src/ieee754/fpmul/mul1.py
src/ieee754/fpmul/mulstages.py
src/ieee754/fpmul/specialcases.py
src/nmutil/pipemodbase.py

index e3b7ff4c17d02272a430962edac4108d6c4bf091..09b5d478ed2dab1b88eea0b710eb46f04cf75d8f 100644 (file)
@@ -3,13 +3,13 @@
 
 from nmigen import Module, Signal, Cat
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.pack import FPPackData
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 
 
-class FPClassMod(FPModBase):
+class FPClassMod(PipeModBase):
     """ obtains floating point information (zero, nan, inf etc.)
     """
     def __init__(self, in_pspec, out_pspec):
index 3561f1970652bed324bdb77463ab8869d4c61691..4a0dc822bc176a773c4cf475ee2148cb12fc8da3 100644 (file)
@@ -4,7 +4,7 @@
 from nmigen import Module, Signal, Const
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpcommon.msbhigh import FPMSBHigh
@@ -13,7 +13,7 @@ from ieee754.fpcommon.exphigh import FPEXPHigh
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 
 
-class FPCVTDownConvertMod(FPModBase):
+class FPCVTDownConvertMod(PipeModBase):
     """ FP down-conversion (higher to lower bitwidth)
     """
     def __init__(self, in_pspec, out_pspec):
index 4f559101d02b7fc6a52874f53e91a65fdf9a922d..96c6309f57aa96fbe5d74406bc53d93dbf55ecc7 100644 (file)
@@ -4,7 +4,7 @@
 from nmigen import Module, Signal, Cat
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpcommon.msbhigh import FPMSBHigh
@@ -12,7 +12,7 @@ from ieee754.fpcommon.msbhigh import FPMSBHigh
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 
 
-class FPCVTIntToFloatMod(FPModBase):
+class FPCVTIntToFloatMod(PipeModBase):
     """ FP integer conversion: copes with 16/32/64 int to 16/32/64 fp.
 
         self.ctx.i.op & 0x1 == 0x1 : SIGNED int
index 483ddbbc462a883a8ecf21285565a2d8ad1db3af..e225a2afb4b7b4642f40a6b56be6c4fba38acf0b 100644 (file)
@@ -8,13 +8,13 @@ import functools
 from nmigen import Module, Signal, Cat
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 
 
-class FPCVTUpConvertMod(FPModBase):
+class FPCVTUpConvertMod(PipeModBase):
     """ FP up-conversion (lower to higher bitwidth)
     """
     def __init__(self, in_pspec, out_pspec):
index 0a77855b9a1c4be9d616a6c9432f80ec942b5840..fd90887932704ac0623a77411bb320bb29a439de 100644 (file)
@@ -7,7 +7,7 @@ Copyright (C) 2019 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 from nmigen import Module, Signal, Cat
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 
 from ieee754.fpcommon.fpbase import FPNumBase, FPNumBaseRecord
 from ieee754.fpcommon.denorm import FPSCData
@@ -30,7 +30,7 @@ class FPAddStage0Data:
                 self.tot.eq(i.tot), self.ctx.eq(i.ctx)]
 
 
-class FPAddStage0Mod(FPModBase):
+class FPAddStage0Mod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "add0")
index 9004be8f57b3d6058ca13094dda3c0d61e31cff5..4b92ad1ad28c769285e3c08ab972cd8f8679ef0b 100644 (file)
@@ -8,12 +8,12 @@ from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpadd.add0 import FPAddStage0Data
 
 
-class FPAddStage1Mod(FPModBase):
+class FPAddStage1Mod(PipeModBase):
     """ Second stage of add: preparation for normalisation.
         detects when tot sum is too big (tot[27] is kinda a carry bit)
     """
index b62d6d20497dcab371a937a5a3b0cc12b937f9d4..d24a5c1432e8243f09596c7c0288db66b0b99a70 100644 (file)
@@ -4,14 +4,14 @@ Copyright (C) 2019 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 
 """
 
-from nmutil.pipemodbase import FPModBaseChain
+from nmutil.pipemodbase import PipeModBaseChain
 
 from ieee754.fpadd.align import FPAddAlignSingleMod
 from ieee754.fpadd.add0 import FPAddStage0Mod
 from ieee754.fpadd.add1 import FPAddStage1Mod
 
 
-class FPAddAlignSingleAdd(FPModBaseChain):
+class FPAddAlignSingleAdd(PipeModBaseChain):
 
     def get_chain(self):
         # chain AddAlignSingle, AddStage0 and AddStage1
index 0cfc38d0a7e81cd71ff2033bf3d4d1bab1b45944..7bdf160504384a32e3f77a8fd2b30ad3d8ee71e0 100644 (file)
@@ -5,7 +5,7 @@
 from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBaseRecord
 from ieee754.fpcommon.fpbase import MultiShiftRMerge
 from ieee754.fpcommon.denorm import FPSCData
@@ -71,7 +71,7 @@ class FPAddAlignMultiMod:
         return m
 
 
-class FPAddAlignSingleMod(FPModBase):
+class FPAddAlignSingleMod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "align")
index c11832dcb8d3ab550e7683049c247236d234efab..68cad890433f7ee32036a8d574d471369bbf706f 100644 (file)
@@ -6,7 +6,7 @@ from nmigen import Module, Signal, Cat, Const
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase, FPModBaseChain
+from nmutil.pipemodbase import PipeModBase, PipeModBaseChain
 from ieee754.fpcommon.fpbase import FPNumDecode
 
 from ieee754.fpcommon.fpbase import FPNumBaseRecord
@@ -14,7 +14,7 @@ from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.denorm import (FPSCData, FPAddDeNormMod)
 
 
-class FPAddSpecialCasesMod(FPModBase):
+class FPAddSpecialCasesMod(PipeModBase):
     """ special cases: NaNs, infs, zeros, denormalised
         NOTE: some of these are unique to add.  see "Special Operations"
         https://steve.hollasch.net/cgindex/coding/ieeefloat.html
@@ -129,7 +129,7 @@ class FPAddSpecialCasesMod(FPModBase):
         return m
 
 
-class FPAddSpecialCasesDeNorm(FPModBaseChain):
+class FPAddSpecialCasesDeNorm(PipeModBaseChain):
     """ special cases chain
     """
 
index 2ac65d790fe994401c82cf3142bcf94399fa4abc..3a06916741b564a53db64e2709478f77e8d421e2 100644 (file)
@@ -5,12 +5,12 @@
 from nmigen import Module
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBase
 from ieee754.fpcommon.roundz import FPRoundData
 
 
-class FPCorrectionsMod(FPModBase):
+class FPCorrectionsMod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "corrections")
index 8210c0193f943d8e33066b5d384b1f897fc3cdc6..52bae10af1190e1c1d5bdc5d1e9ae4fdc1596055 100644 (file)
@@ -6,7 +6,7 @@ from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBaseRecord
 from ieee754.fpcommon.fpbase import FPNumBase
 from ieee754.fpcommon.getop import FPPipeContext
@@ -42,7 +42,7 @@ class FPSCData:
         return ret
 
 
-class FPAddDeNormMod(FPModBase):
+class FPAddDeNormMod(PipeModBase):
 
     def __init__(self, pspec, m_extra):
         self.m_extra = m_extra
index 33459a360f5854b2fcf130041d1ef0dd21a4bf08..003516c55dbf1859c472e9b9efab18273958fe8d 100644 (file)
@@ -4,14 +4,14 @@ Copyright (C) 2019 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 
 """
 
-from nmutil.pipemodbase import FPModBaseChain
+from nmutil.pipemodbase import PipeModBaseChain
 from ieee754.fpcommon.postnormalise import FPNorm1ModSingle
 from ieee754.fpcommon.roundz import FPRoundMod
 from ieee754.fpcommon.corrections import FPCorrectionsMod
 from ieee754.fpcommon.pack import FPPackMod
 
 
-class FPNormToPack(FPModBaseChain):
+class FPNormToPack(PipeModBaseChain):
 
     def __init__(self, pspec, e_extra=False):
         self.e_extra = e_extra
index 4553f53182edb8df91b01aa84e9e32062e759dc5..4f906bd332fbab3ba97746cdbfb01bfca0bdafe0 100644 (file)
@@ -5,7 +5,7 @@
 from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBaseRecord, FPNumBase
 from ieee754.fpcommon.roundz import FPRoundData
 from ieee754.fpcommon.getop import FPPipeContext
@@ -38,7 +38,7 @@ class FPPackData:
         return list(self)
 
 
-class FPPackMod(FPModBase):
+class FPPackMod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "pack")
index 9f1720a2b6c21de96bd14b3b69951acf54939247..d9e245b6aa3bc748520a1e6567a9d4847faf002e 100644 (file)
@@ -6,7 +6,7 @@ from nmigen import Module, Signal, Cat, Mux
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import (Overflow, OverflowMod,
                                      FPNumBase, FPNumBaseRecord)
 from ieee754.fpcommon.fpbase import FPState
@@ -33,7 +33,7 @@ class FPNorm1Data:
         return ret
 
 
-class FPNorm1ModSingle(FPModBase):
+class FPNorm1ModSingle(PipeModBase):
 
     def __init__(self, pspec, e_extra=False):
         self.e_extra = e_extra
index 78a00d37366252bb8e4fdb0af544267f4891ce0d..e061d2a1cc2e4da0646e017ab635a431ccc82fca 100644 (file)
@@ -5,7 +5,7 @@
 from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBase, FPNumBaseRecord
 from ieee754.fpcommon.getop import FPPipeContext
 from ieee754.fpcommon.postnormalise import FPNorm1Data
@@ -28,7 +28,7 @@ class FPRoundData:
         return ret
 
 
-class FPRoundMod(FPModBase):
+class FPRoundMod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "roundz")
index 9d92ea392540d5d5d1488859d71fd534098e54d6..106da7157f2118d73042d03d224b1cd4597d0db8 100644 (file)
@@ -13,7 +13,7 @@ Relevant bugreports:
 from nmigen import Module, Signal, Cat, Elaboratable, Const, Mux
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBaseRecord
 from ieee754.fpcommon.denorm import FPSCData
 from ieee754.fpcommon.getop import FPPipeContext
@@ -21,7 +21,7 @@ from ieee754.div_rem_sqrt_rsqrt.div_pipe import DivPipeInputData
 from ieee754.div_rem_sqrt_rsqrt.core import DivPipeCoreOperation as DPCOp
 
 
-class FPDivStage0Mod(FPModBase):
+class FPDivStage0Mod(PipeModBase):
     """ DIV/SQRT/RSQRT "preparation" module.
 
         adjusts mantissa and exponent (sqrt/rsqrt exponent must be even),
index c1ffdee6583c00b6023263cd3725152816349665..b62bec816e1a02f5ef96dd8e4703121aa2e78a0b 100644 (file)
@@ -12,12 +12,12 @@ Relevant bugreports:
 from nmigen import Module, Signal, Cat
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.div_rem_sqrt_rsqrt.div_pipe import DivPipeOutputData
 
 
-class FPDivStage2Mod(FPModBase):
+class FPDivStage2Mod(PipeModBase):
     """ Last stage of div: preparation for normalisation.
 
         NOTE: this phase does NOT do ACTUAL DIV processing, it ONLY
index a147b068d5c2099145e00d54c1beb3056d0ab50b..e8829dcc3b1b29897db07cb8bfdf13ccc3dd560e 100644 (file)
@@ -4,7 +4,7 @@ Relevant bugreport: http://bugs.libre-riscv.org/show_bug.cgi?id=99
 
 """
 
-from nmutil.pipemodbase import FPModBaseChain
+from nmutil.pipemodbase import PipeModBaseChain
 from ieee754.div_rem_sqrt_rsqrt.div_pipe import (DivPipeInterstageData,
                                                  DivPipeSetupStage,
                                                  DivPipeCalculateStage,
@@ -14,7 +14,7 @@ from ieee754.fpdiv.div0 import FPDivStage0Mod
 from ieee754.fpdiv.div2 import FPDivStage2Mod
 
 
-class FPDivStagesSetup(FPModBaseChain):
+class FPDivStagesSetup(PipeModBaseChain):
 
     def __init__(self, pspec, n_stages, stage_offs):
         self.n_stages = n_stages # number of combinatorial stages
@@ -48,7 +48,7 @@ class FPDivStagesSetup(FPModBaseChain):
         return divstages
 
 
-class FPDivStagesIntermediate(FPModBaseChain):
+class FPDivStagesIntermediate(PipeModBaseChain):
 
     def __init__(self, pspec, n_stages, stage_offs):
         self.n_stages = n_stages # number of combinatorial stages
@@ -75,7 +75,7 @@ class FPDivStagesIntermediate(FPModBaseChain):
         return divstages
 
 
-class FPDivStagesFinal(FPModBaseChain):
+class FPDivStagesFinal(PipeModBaseChain):
 
     def __init__(self, pspec, n_stages, stage_offs):
         self.n_stages = n_stages # number of combinatorial stages
index 5b63702062cfb6428c5aa0dee9b8b1b3bf50ea45..20f670288b3efa53f5014df71da73466ccd0e910 100644 (file)
@@ -13,7 +13,7 @@ from nmigen import Module, Signal
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase, FPModBaseChain
+from nmutil.pipemodbase import PipeModBase, PipeModBaseChain
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.denorm import (FPSCData, FPAddDeNormMod)
@@ -21,7 +21,7 @@ from ieee754.fpmul.align import FPAlignModSingle
 from ieee754.div_rem_sqrt_rsqrt.core import DivPipeCoreOperation as DP
 
 
-class FPDIVSpecialCasesMod(FPModBase):
+class FPDIVSpecialCasesMod(PipeModBase):
     """ special cases: NaNs, infs, zeros, denormalised
         see "Special Operations"
         https://steve.hollasch.net/cgindex/coding/ieeefloat.html
@@ -150,7 +150,7 @@ class FPDIVSpecialCasesMod(FPModBase):
         return m
 
 
-class FPDIVSpecialCasesDeNorm(FPModBaseChain):
+class FPDIVSpecialCasesDeNorm(PipeModBaseChain):
     """ special cases: NaNs, infs, zeros, denormalised
     """
 
index 507e21a45ec0100af8e28e5dcc1276e5505c3245..b105f8ef9cfd6468f60927114e8e973140b7e330 100644 (file)
@@ -4,7 +4,7 @@ from nmigen import Module, Signal, Cat, Mux
 from nmigen.cli import main, verilog
 from math import log
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBase
 from ieee754.fpcommon.getop import FPPipeContext
 from ieee754.fpcommon.msbhigh import FPMSBHigh
@@ -12,7 +12,7 @@ from ieee754.fpcommon.denorm import FPSCData
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 
 
-class FPAlignModSingle(FPModBase):
+class FPAlignModSingle(PipeModBase):
 
     def __init__(self, pspec, e_extra=False):
         self.e_extra = e_extra
index 398a953dd673c4471f33cadb6602bd071c8f8c7c..428c275312bcbaff40e02597e3904295fcdb7031 100644 (file)
@@ -7,7 +7,7 @@ Copyright (C) 2019 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 from nmigen import Module, Signal, Cat, Elaboratable
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.fpbase import FPNumBaseRecord
 from ieee754.fpcommon.denorm import FPSCData
 from ieee754.fpcommon.getop import FPPipeContext
@@ -30,7 +30,7 @@ class FPMulStage0Data:
                 self.product.eq(i.product), self.ctx.eq(i.ctx)]
 
 
-class FPMulStage0Mod(FPModBase):
+class FPMulStage0Mod(PipeModBase):
 
     def __init__(self, pspec):
         super().__init__(pspec, "mul0")
index 9f896315d2e97e324e0e6e93bd71d4f2515d70e7..67e915172d9427e2135315bf6aed3e8e26cbd1fb 100644 (file)
@@ -7,12 +7,12 @@ Copyright (C) 2019 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 from nmigen import Module, Signal, Elaboratable
 from nmigen.cli import main, verilog
 
-from nmutil.pipemodbase import FPModBase
+from nmutil.pipemodbase import PipeModBase
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpmul.mul0 import FPMulStage0Data
 
 
-class FPMulStage1Mod(FPModBase):
+class FPMulStage1Mod(PipeModBase):
     """ Second stage of mul: preparation for normalisation.
     """
 
index 78844cf8d62811428fcab8e3e91e9f93d3440653..95fc5be30930f98d570fbb1969d1204e3b24384d 100644 (file)
@@ -5,14 +5,14 @@ from nmigen.cli import main, verilog
 
 from nmutil.singlepipe import StageChain
 
-from nmutil.pipemodbase import FPModBaseChain
+from nmutil.pipemodbase import PipeModBaseChain
 from ieee754.fpcommon.denorm import FPSCData
 from ieee754.fpcommon.postcalc import FPAddStage1Data
 from ieee754.fpmul.mul0 import FPMulStage0Mod
 from ieee754.fpmul.mul1 import FPMulStage1Mod
 
 
-class FPMulStages(FPModBaseChain):
+class FPMulStages(PipeModBaseChain):
 
     def get_chain(self):
         # chain MulStage0 and MulStage1
index 971744303a19c59f2759badf6e3cc548ba1f56b7..fa8344005914191c43e8fa4e707799620eb09032 100644 (file)
@@ -6,13 +6,13 @@ from math import log
 
 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
 
-from nmutil.pipemodbase import FPModBase, FPModBaseChain
+from nmutil.pipemodbase import PipeModBase, PipeModBaseChain
 from ieee754.fpcommon.getop import FPADDBaseData
 from ieee754.fpcommon.denorm import (FPSCData, FPAddDeNormMod)
 from ieee754.fpmul.align import FPAlignModSingle
 
 
-class FPMulSpecialCasesMod(FPModBase):
+class FPMulSpecialCasesMod(PipeModBase):
     """ special cases: NaNs, infs, zeros, denormalised
         see "Special Operations"
         https://steve.hollasch.net/cgindex/coding/ieeefloat.html
@@ -87,7 +87,7 @@ class FPMulSpecialCasesMod(FPModBase):
         return m
 
 
-class FPMulSpecialCasesDeNorm(FPModBaseChain):
+class FPMulSpecialCasesDeNorm(PipeModBaseChain):
     """ special cases: NaNs, infs, zeros, denormalised
     """
 
index 1ebe3a1a47c8c122869d85297458f1bfa1d488d6..0c5a02fabda60cca2f66c874fbe15b908f6b09c6 100644 (file)
@@ -3,8 +3,8 @@ from ieee754.pipeline import DynamicPipe
 from nmutil.singlepipe import StageChain
 
 
-class FPModBase(Elaboratable):
-    """FPModBase: common code between nearly every pipeline module
+class PipeModBase(Elaboratable):
+    """PipeModBase: common code between nearly every pipeline module
     """
     def __init__(self, pspec, modname):
         self.modname = modname # use this to give a name to this module
@@ -22,8 +22,8 @@ class FPModBase(Elaboratable):
         m.d.comb += self.i.eq(i)
 
 
-class FPModBaseChain(DynamicPipe):
-    """FPModBaseChain: common code between stage-chained pipes
+class PipeModBaseChain(DynamicPipe):
+    """PipeModBaseChain: common code between stage-chained pipes
 
     Links a set of combinatorial modules (get_chain) together
     and uses pspec.pipekls to dynamically select the pipeline type