From: Luke Kenneth Casson Leighton Date: Sun, 22 Mar 2020 10:12:38 +0000 (+0000) Subject: comment latchregister X-Git-Tag: 24jan2021_ls180~80 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=2acd98076eb5a69c72cfbf6d00975b92e00be83c;p=nmutil.git comment latchregister --- diff --git a/src/nmutil/latch.py b/src/nmutil/latch.py index 6bf6fd9..5f3a0c0 100644 --- a/src/nmutil/latch.py +++ b/src/nmutil/latch.py @@ -23,7 +23,7 @@ endmodule def latchregister(m, incoming, outgoing, settrue, name=None): reg = Signal.like(incoming, name=name) # make reg same as input. reset OK. - with m.If(settrue): + with m.If(settrue): # pass in some kind of expression/condition here m.d.sync += reg.eq(incoming) # latch input into register m.d.comb += outgoing.eq(incoming) # return input (combinatorial) with m.Else():