From: Luke Kenneth Casson Leighton Date: Mon, 30 Jul 2018 10:38:22 +0000 (+0100) Subject: add always ready/enabled to get/put X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=44fa1ae0b4f557f069ed2f7738c1a4377b114d25;p=shakti-peripherals.git add always ready/enabled to get/put --- diff --git a/src/lib/ifc_sync.bsv b/src/lib/ifc_sync.bsv index c179206..49da823 100644 --- a/src/lib/ifc_sync.bsv +++ b/src/lib/ifc_sync.bsv @@ -5,7 +5,9 @@ package ifc_sync; (*always_ready,always_enabled*) interface Ifc_sync#(type a); + (*always_ready,always_enabled*) interface Put#(a) put; + (*always_ready,always_enabled*) interface Get#(a) get; endinterface module mksyncconnection#(Clock putclock, Reset putreset,