From: Cesar_Strauss Date: Sun, 6 Sep 2020 22:12:55 +0000 (+0100) Subject: Show how to change the color of a trace X-Git-Tag: convert-csv-opcode-to-binary~2167 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=474af62cae2109cc97365ded985e872af856411d;p=libreriscv.git Show how to change the color of a trace --- diff --git a/Documentation/gtkwave_tutorial.mdwn b/Documentation/gtkwave_tutorial.mdwn index b127ee9b2..2eb679b86 100644 --- a/Documentation/gtkwave_tutorial.mdwn +++ b/Documentation/gtkwave_tutorial.mdwn @@ -81,6 +81,19 @@ do: ``echo splash_disable 1 >> ~/.gtkwaverc`` 5. If you modify the document manually, better to save it with another name +## Adding color + +Go back to the trace list and replace the ``'op__sdir'`` string with: + + ('op__sdir', {'color': 'orange'}) + +Recreate the document (you can change the file name): + + write_gtkw("color.gtkw", "test_shifter.vcd", traces, module='top.shf') + +If you now run ``gtkwave color.gtkw``, you will see that ``op__sdir`` +has the new color. + # New signals at simulation time At simulation time, you can declare a new signal, and use it inside