From: Miodrag Milanovic Date: Mon, 9 May 2022 07:01:57 +0000 (+0200) Subject: Fix running sva tests X-Git-Tag: yosys-0.17~4 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=600079e281d1dcf295c1c97616109b5ea5f3d34d;p=yosys.git Fix running sva tests --- diff --git a/tests/sva/runtest.sh b/tests/sva/runtest.sh index 1b65ca9c9..8ed7f8cbc 100644 --- a/tests/sva/runtest.sh +++ b/tests/sva/runtest.sh @@ -22,18 +22,17 @@ generate_sby() { if [ -f $prefix.sv ]; then if [ "$1" = "fail" ]; then - echo "verific -sv ${prefix}_fail.sv" + echo "read -sv ${prefix}_fail.sv" else - echo "verific -sv $prefix.sv" + echo "read -sv $prefix.sv" fi fi if [ -f $prefix.vhd ]; then - echo "verific -vhdl $prefix.vhd" + echo "read -vhdl $prefix.vhd" fi cat <<- EOT - verific -import -extnets -all top prep -top top chformal -early -assume