From: Luke Kenneth Casson Leighton Date: Fri, 29 Mar 2019 11:49:37 +0000 (+0000) Subject: split out corrections to separate module X-Git-Tag: ls180-24jan2020~1399 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=a264a3e309c230aa7c2b88e0e7833da14d9c52a8;p=ieee754fpu.git split out corrections to separate module --- diff --git a/src/add/fpcommon/corrections.py b/src/add/fpcommon/corrections.py new file mode 100644 index 00000000..a79ddcc7 --- /dev/null +++ b/src/add/fpcommon/corrections.py @@ -0,0 +1,79 @@ +# IEEE Floating Point Adder (Single Precision) +# Copyright (C) Jonathan P Dawson 2013 +# 2013-12-12 + +from nmigen import Module, Signal, Cat, Mux, Array, Const +from nmigen.lib.coding import PriorityEncoder +from nmigen.cli import main, verilog +from math import log + +from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase, FPNumBase +from fpbase import MultiShiftRMerge, Trigger +from singlepipe import (ControlBase, StageChain, UnbufferedPipeline, + PassThroughStage) +from multipipe import CombMuxOutPipe +from multipipe import PriorityCombMuxInPipe + +from fpbase import FPState, FPID +from fpcommon.roundz import FPRoundData + + +class FPCorrectionsMod: + + def __init__(self, width, id_wid): + self.width = width + self.id_wid = id_wid + self.i = self.ispec() + self.out_z = self.ospec() + + def ispec(self): + return FPRoundData(self.width, self.id_wid) + + def ospec(self): + return FPRoundData(self.width, self.id_wid) + + def process(self, i): + return self.out_z + + def setup(self, m, i): + """ links module to inputs and outputs + """ + m.submodules.corrections = self + m.d.comb += self.i.eq(i) + + def elaborate(self, platform): + m = Module() + m.submodules.corr_in_z = self.i.z + m.submodules.corr_out_z = self.out_z.z + m.d.comb += self.out_z.eq(self.i) # copies mid, z, out_do_z + with m.If(~self.i.out_do_z): + with m.If(self.i.z.is_denormalised): + m.d.comb += self.out_z.z.e.eq(self.i.z.N127) + return m + + +class FPCorrections(FPState): + + def __init__(self, width, id_wid): + FPState.__init__(self, "corrections") + self.mod = FPCorrectionsMod(width) + self.out_z = self.ospec() + + def ispec(self): + return self.mod.ispec() + + def ospec(self): + return self.mod.ospec() + + def setup(self, m, in_z): + """ links module to inputs and outputs + """ + self.mod.setup(m, in_z) + + m.d.sync += self.out_z.eq(self.mod.out_z) + m.d.sync += self.out_z.mid.eq(self.mod.o.mid) + + def action(self, m): + m.next = "pack" + + diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index bb3c9702..9eb5a4bd 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -21,6 +21,7 @@ from fpcommon.postcalc import FPAddStage1Data from fpcommon.postnormalise import (FPNorm1Data, FPNorm1ModSingle, FPNorm1ModMulti, FPNorm1Single, FPNorm1Multi) from fpcommon.roundz import (FPRoundData, FPRoundMod, FPRound) +from fpcommon.corrections import (FPCorrectionsMod, FPCorrections) class FPAddSpecialCasesMod: @@ -672,65 +673,6 @@ class FPNormToPack(FPState, UnbufferedPipeline): m.next = "pack_put_z" -class FPCorrectionsMod: - - def __init__(self, width, id_wid): - self.width = width - self.id_wid = id_wid - self.i = self.ispec() - self.out_z = self.ospec() - - def ispec(self): - return FPRoundData(self.width, self.id_wid) - - def ospec(self): - return FPRoundData(self.width, self.id_wid) - - def process(self, i): - return self.out_z - - def setup(self, m, i): - """ links module to inputs and outputs - """ - m.submodules.corrections = self - m.d.comb += self.i.eq(i) - - def elaborate(self, platform): - m = Module() - m.submodules.corr_in_z = self.i.z - m.submodules.corr_out_z = self.out_z.z - m.d.comb += self.out_z.eq(self.i) # copies mid, z, out_do_z - with m.If(~self.i.out_do_z): - with m.If(self.i.z.is_denormalised): - m.d.comb += self.out_z.z.e.eq(self.i.z.N127) - return m - - -class FPCorrections(FPState): - - def __init__(self, width, id_wid): - FPState.__init__(self, "corrections") - self.mod = FPCorrectionsMod(width) - self.out_z = self.ospec() - - def ispec(self): - return self.mod.ispec() - - def ospec(self): - return self.mod.ospec() - - def setup(self, m, in_z): - """ links module to inputs and outputs - """ - self.mod.setup(m, in_z) - - m.d.sync += self.out_z.eq(self.mod.out_z) - m.d.sync += self.out_z.mid.eq(self.mod.o.mid) - - def action(self, m): - m.next = "pack" - - class FPPackData: def __init__(self, width, id_wid):