From: Luke Kenneth Casson Leighton Date: Tue, 21 Dec 2021 05:07:37 +0000 (+0000) Subject: comments X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=a676c4d25fe9e37a6e2383c3a39b19cfc6aa39e3;p=soc.git comments --- diff --git a/src/soc/experiment/mmu.py b/src/soc/experiment/mmu.py index e9599948..01eb61d3 100644 --- a/src/soc/experiment/mmu.py +++ b/src/soc/experiment/mmu.py @@ -376,6 +376,9 @@ class MMU(Elaboratable): sync += Display("MMUBUG: non-present PTE, generate a DSI") def segment_check(self, m, v, r, data, finalmask): + """segment_check: checks validity of the request before doing a + RADIX lookup. reports either segment error or bad tree if not ok + """ comb = m.d.comb mbits = Signal(6)