From: Luke Kenneth Casson Leighton Date: Mon, 14 Feb 2022 14:22:14 +0000 (+0000) Subject: slave sends stall signal, master receives, in X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=e19f8c221914c76270d0059455279c63a65e69e9;p=soc.git slave sends stall signal, master receives, in WBDownConvert --- diff --git a/src/soc/bus/wb_downconvert.py b/src/soc/bus/wb_downconvert.py index 5b41f9a9..c6f23a16 100644 --- a/src/soc/bus/wb_downconvert.py +++ b/src/soc/bus/wb_downconvert.py @@ -106,7 +106,7 @@ class WishboneDownConvert(Elaboratable): # stall if hasattr(slave, 'stall'): - comb += slave.stall.eq(master.stall) + comb += master.stall.eq(slave.stall) # write Datapath - select fragments of data, depending on "counter" with m.Switch(counter):