write-enable sram into common wen signal, use that to enable wen from sel
[nmigen-soc.git] / README.md
2019-09-06 whitequarkInitial commit.