ignore /abc.history
[microwatt.git] / run.py
2023-09-30 Michael NeulingMerge pull request #423 from nickg/fix-vunit
2023-09-30 Nick GassonFix compatibility with latest VUnit release
2023-09-14 Paul MackerrasMerge pull request #404 from CodeConstruct:dev/gpio...
2023-02-20 Anton BlanchardMerge pull request #417 from kraigher/master
2023-02-15 Olof KraigherAdd vhdl_ls.toml dump to run.py
2022-12-05 Michael NeulingMerge pull request #409 from CodeConstruct/dev/soc...
2022-10-24 Michael NeulingMerge pull request #415 from ozbenh/uart16550-core
2022-10-24 Michael NeulingMerge pull request #414 from ozbenh/misc
2022-10-23 Benjamin HerrenschmidtAdd shebang to run.py
2021-08-04 Anton BlanchardMerge pull request #299 from mikey/vunit-make
2021-08-02 Michael NeulingMerge pull request #301 from umarcor/vunit-cleanup
2021-07-31 umarcorVUnit: style
2021-07-31 umarcorVUnit: use Path.glob instead of glob.glob
2021-06-21 Michael NeulingMerge pull request #296 from LarsAsplund/logging-checking
2021-06-21 Michael NeulingMerge pull request #298 from paulusmack/master
2021-06-21 Michael NeulingMerge pull request #295 from LarsAsplund/master
2021-06-09 Lars AsplundReplaced VHDL assert and report with VUnit checking...
2021-06-09 Lars AsplundMake core testbenches recognized by VUnit
2021-06-09 Lars AsplundAdded VUnit run script.