Merge pull request #173 from jix/test-cvc
[SymbiYosys.git] / sbysrc / demo2.sby
2017-02-19 Clifford WolfAdd aiger engine