add osdr_clock, remove unneeded SDIO ctrl / config, to be done by hand
[shakti-peripherals.git] / src / lib / ClockDiv.bsv
2018-07-22 Luke Kenneth Casso... add first peripheral set