add always_ready to flexbus get/puts
[shakti-peripherals.git] / src / lib / ifc_sync.bsv
2018-07-29 Luke Kenneth Casso... remove semicolon
2018-07-29 Luke Kenneth Casso... add ifc_sync module