libreriscv.git
5 months ago(no commit message)
lkcl [Thu, 7 Dec 2023 16:07:45 +0000 (16:07 +0000)]

5 months ago(no commit message)
lkcl [Thu, 7 Dec 2023 16:05:42 +0000 (16:05 +0000)]

5 months agomention SIMD pospopcount really hard to comprehend
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 15:16:54 +0000 (15:16 +0000)]
mention SIMD pospopcount really hard to comprehend

5 months agowhitespace cleanup
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 15:15:54 +0000 (15:15 +0000)]
whitespace cleanup

5 months ago(no commit message)
lkcl [Wed, 6 Dec 2023 15:13:25 +0000 (15:13 +0000)]

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 15:09:08 +0000 (15:09 +0000)]

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 15:05:00 +0000 (15:05 +0000)]

5 months ago(no commit message)
lkcl [Wed, 6 Dec 2023 15:01:18 +0000 (15:01 +0000)]

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 15:00:28 +0000 (15:00 +0000)]

5 months agoadd what-is-to-be-achieved preamble to visual steps bug #672
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 14:55:39 +0000 (14:55 +0000)]
add what-is-to-be-achieved preamble to visual steps bug #672

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 14:52:15 +0000 (14:52 +0000)]

5 months agomove words to images
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 14:47:36 +0000 (14:47 +0000)]
move words to images

5 months agoadd funding link to nlnet bug #672
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 14:44:03 +0000 (14:44 +0000)]
add funding link to nlnet bug #672

5 months agoadd pospopcount conclusion bug #672
Luke Kenneth Casson Leighton [Wed, 6 Dec 2023 14:39:47 +0000 (14:39 +0000)]
add pospopcount conclusion bug #672

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 14:46:07 +0000 (14:46 +0000)]

5 months ago(no commit message)
lkcl [Wed, 6 Dec 2023 14:40:28 +0000 (14:40 +0000)]

5 months ago(no commit message)
shriya [Wed, 6 Dec 2023 14:39:43 +0000 (14:39 +0000)]

5 months agosync_up: Updated my section
Andrey Miroshnikov [Wed, 6 Dec 2023 09:34:12 +0000 (09:34 +0000)]
sync_up: Updated my section

5 months ago(no commit message)
Cesar_Strauss [Wed, 6 Dec 2023 09:27:47 +0000 (09:27 +0000)]

5 months agosync_up: Added a bit more
Andrey Miroshnikov [Wed, 6 Dec 2023 09:25:03 +0000 (09:25 +0000)]
sync_up: Added a bit more

5 months agosync_up: Add Sadoon's concern from yesterday
Andrey Miroshnikov [Wed, 6 Dec 2023 09:06:33 +0000 (09:06 +0000)]
sync_up: Add Sadoon's concern from yesterday

5 months agorfp_submission: Add link back to HDL_workflow
Andrey Miroshnikov [Tue, 5 Dec 2023 17:48:44 +0000 (17:48 +0000)]
rfp_submission: Add link back to HDL_workflow

5 months agoHDL_workflow: Add link to new RfP submission doc
Andrey Miroshnikov [Tue, 5 Dec 2023 17:45:57 +0000 (17:45 +0000)]
HDL_workflow: Add link to new RfP submission doc

5 months agosync_up: Updated meeting notes
Andrey Miroshnikov [Tue, 5 Dec 2023 17:40:58 +0000 (17:40 +0000)]
sync_up: Updated meeting notes

5 months agorfp_submission: New page for documenting rfp process
Andrey Miroshnikov [Tue, 5 Dec 2023 17:28:15 +0000 (17:28 +0000)]
rfp_submission: New page for documenting rfp process

5 months ago(no commit message)
programmerjake [Tue, 5 Dec 2023 17:16:51 +0000 (17:16 +0000)]

5 months ago(no commit message)
programmerjake [Tue, 5 Dec 2023 17:13:49 +0000 (17:13 +0000)]

5 months agosync_up: Updated my section
Andrey Miroshnikov [Tue, 5 Dec 2023 17:02:07 +0000 (17:02 +0000)]
sync_up: Updated my section

5 months agoHDL_workflow: Fixed formatting.
Andrey Miroshnikov [Tue, 5 Dec 2023 16:34:32 +0000 (16:34 +0000)]
HDL_workflow: Fixed formatting.

5 months agoHDL_workflow: Fixed formatting.
Andrey Miroshnikov [Tue, 5 Dec 2023 16:33:26 +0000 (16:33 +0000)]
HDL_workflow: Fixed formatting.

5 months agoHDL_workflow: Fixed typo.
Andrey Miroshnikov [Tue, 5 Dec 2023 16:31:56 +0000 (16:31 +0000)]
HDL_workflow: Fixed typo.

5 months agoadd shriya svg pospocount diagrams bug #672
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 16:04:24 +0000 (16:04 +0000)]
add shriya svg pospocount diagrams bug #672

5 months agoadd improvements section
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 15:55:47 +0000 (15:55 +0000)]
add improvements section

5 months agoadd sv.bc warm words for pospopcount bug #672
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 15:49:37 +0000 (15:49 +0000)]
add sv.bc warm words for pospopcount bug #672

5 months agomore instruction explanation on pospopcount, bug #672
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 15:29:52 +0000 (15:29 +0000)]
more instruction explanation on pospopcount, bug #672

5 months agoLS bug process: Comment on branch names
Andrey Miroshnikov [Tue, 5 Dec 2023 15:18:45 +0000 (15:18 +0000)]
LS bug process: Comment on branch names

5 months agoHDL_workflow: Update notes on git
Andrey Miroshnikov [Tue, 5 Dec 2023 15:15:32 +0000 (15:15 +0000)]
HDL_workflow: Update notes on git

5 months agoHDL_workflow: Added copyright notice info
Andrey Miroshnikov [Tue, 5 Dec 2023 15:05:02 +0000 (15:05 +0000)]
HDL_workflow: Added copyright notice info

5 months agoremove redundant symbols
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 14:46:56 +0000 (14:46 +0000)]
remove redundant symbols

5 months agoadd words to describe first few instructions, bug #672 popspopcount
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 14:46:01 +0000 (14:46 +0000)]
add words to describe first few instructions, bug #672 popspopcount

5 months agoLS bug process: No bug re-use
Andrey Miroshnikov [Tue, 5 Dec 2023 14:43:53 +0000 (14:43 +0000)]
LS bug process: No bug re-use

5 months agowhitespace
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 14:39:32 +0000 (14:39 +0000)]
whitespace

5 months agoprepare assembler for warm-words, pospopcount
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 14:36:42 +0000 (14:36 +0000)]
prepare assembler for warm-words, pospopcount

5 months agoLS bug process: Add info on bug #NNN
Andrey Miroshnikov [Tue, 5 Dec 2023 14:33:30 +0000 (14:33 +0000)]
LS bug process: Add info on bug #NNN

5 months agoLS bug process: Add TOC
Andrey Miroshnikov [Tue, 5 Dec 2023 14:25:15 +0000 (14:25 +0000)]
LS bug process: Add TOC

5 months agoHDL_workflow: Add git commit format guide bug #1126#40
Andrey Miroshnikov [Tue, 5 Dec 2023 14:19:42 +0000 (14:19 +0000)]
HDL_workflow: Add git commit format guide bug #1126#40

5 months agorename pospopcount image, split into two
Luke Kenneth Casson Leighton [Tue, 5 Dec 2023 14:14:14 +0000 (14:14 +0000)]
rename pospopcount image, split into two

5 months ago(no commit message)
lkcl [Tue, 5 Dec 2023 14:10:26 +0000 (14:10 +0000)]

5 months ago(no commit message)
shriya [Tue, 5 Dec 2023 13:45:57 +0000 (13:45 +0000)]

5 months ago(no commit message)
shriya [Tue, 5 Dec 2023 13:44:42 +0000 (13:44 +0000)]

5 months ago(no commit message)
shriya [Tue, 5 Dec 2023 13:44:00 +0000 (13:44 +0000)]

5 months agosync_up: Added 6th Dec Wed meeting
Andrey Miroshnikov [Tue, 5 Dec 2023 11:10:44 +0000 (11:10 +0000)]
sync_up: Added 6th Dec Wed meeting

5 months agosync_up: Update my section
Andrey Miroshnikov [Tue, 5 Dec 2023 11:06:27 +0000 (11:06 +0000)]
sync_up: Update my section

5 months agofosdem2024: add draft schedule.
Andrey Miroshnikov [Sun, 3 Dec 2023 15:33:17 +0000 (15:33 +0000)]
fosdem2024: add draft schedule.

5 months ago(no commit message)
lkcl [Fri, 1 Dec 2023 09:00:41 +0000 (09:00 +0000)]

5 months agoupdate comment on pospopcount svp64 cookbook example
Luke Kenneth Casson Leighton [Fri, 1 Dec 2023 08:56:50 +0000 (08:56 +0000)]
update comment on pospopcount svp64 cookbook example

5 months ago(no commit message)
lkcl [Fri, 1 Dec 2023 08:52:44 +0000 (08:52 +0000)]

5 months ago(no commit message)
lkcl [Fri, 1 Dec 2023 08:52:30 +0000 (08:52 +0000)]

5 months ago(no commit message)
lkcl [Fri, 1 Dec 2023 08:51:13 +0000 (08:51 +0000)]

5 months ago(no commit message)
lkcl [Thu, 30 Nov 2023 15:25:26 +0000 (15:25 +0000)]

5 months agoAdd link to gtkwave tcl example
Andrey Miroshnikov [Wed, 29 Nov 2023 09:24:20 +0000 (09:24 +0000)]
Add link to gtkwave tcl example

5 months ago(no commit message)
Cesar_Strauss [Wed, 29 Nov 2023 09:23:05 +0000 (09:23 +0000)]

5 months ago(no commit message)
Cesar_Strauss [Wed, 29 Nov 2023 09:21:17 +0000 (09:21 +0000)]

5 months agoAdding my section
Andrey Miroshnikov [Wed, 29 Nov 2023 09:12:26 +0000 (09:12 +0000)]
Adding my section

5 months ago(no commit message)
lkcl [Tue, 28 Nov 2023 21:11:15 +0000 (21:11 +0000)]

5 months ago(no commit message)
lkcl [Tue, 28 Nov 2023 20:07:23 +0000 (20:07 +0000)]

5 months ago(no commit message)
lkcl [Tue, 28 Nov 2023 20:04:26 +0000 (20:04 +0000)]

5 months ago(no commit message)
lkcl [Tue, 28 Nov 2023 20:03:03 +0000 (20:03 +0000)]

5 months agoAdded prefix proposal 2019 link, thx Jacob
Andrey Miroshnikov [Tue, 28 Nov 2023 19:52:17 +0000 (19:52 +0000)]
Added prefix proposal 2019 link, thx Jacob

5 months agosync_up: 1169 (Jacob), 1126 (Dmitry/Andrey) info added.
Andrey Miroshnikov [Tue, 28 Nov 2023 18:12:52 +0000 (18:12 +0000)]
sync_up: 1169 (Jacob), 1126 (Dmitry/Andrey) info added.

5 months agoAdd notes on bug #980
Andrey Miroshnikov [Tue, 28 Nov 2023 17:48:17 +0000 (17:48 +0000)]
Add notes on bug #980

5 months ago(no commit message)
sadoon [Tue, 28 Nov 2023 17:33:12 +0000 (17:33 +0000)]

5 months agoAdd Shriya/Luke/Sadoon Poly1305
Andrey Miroshnikov [Tue, 28 Nov 2023 17:31:13 +0000 (17:31 +0000)]
Add Shriya/Luke/Sadoon Poly1305

5 months agoAdd more info
Andrey Miroshnikov [Tue, 28 Nov 2023 17:26:38 +0000 (17:26 +0000)]
Add more info

5 months agoAdd more info
Andrey Miroshnikov [Tue, 28 Nov 2023 17:18:16 +0000 (17:18 +0000)]
Add more info

5 months agoAdd demo example extension link
Andrey Miroshnikov [Tue, 28 Nov 2023 17:12:18 +0000 (17:12 +0000)]
Add demo example extension link

5 months agosync_up/2023-11-28: note on RISC-V insns formats
Dmitry Selyutin [Tue, 28 Nov 2023 17:11:27 +0000 (20:11 +0300)]
sync_up/2023-11-28: note on RISC-V insns formats

6 months agoremove Rc=1 for now from bmatflip
Luke Kenneth Casson Leighton [Mon, 27 Nov 2023 14:19:47 +0000 (14:19 +0000)]
remove Rc=1 for now from bmatflip

6 months ago(no commit message)
lkcl [Mon, 27 Nov 2023 14:18:14 +0000 (14:18 +0000)]

6 months ago(no commit message)
lkcl [Mon, 27 Nov 2023 14:14:41 +0000 (14:14 +0000)]

6 months ago(no commit message)
lkcl [Mon, 27 Nov 2023 14:08:55 +0000 (14:08 +0000)]

6 months ago(no commit message)
lkcl [Mon, 27 Nov 2023 14:07:19 +0000 (14:07 +0000)]

6 months ago(no commit message)
lkcl [Sun, 26 Nov 2023 19:15:56 +0000 (19:15 +0000)]

6 months ago(no commit message)
lkcl [Sat, 25 Nov 2023 19:44:23 +0000 (19:44 +0000)]

6 months ago(no commit message)
lkcl [Sat, 25 Nov 2023 08:54:25 +0000 (08:54 +0000)]

6 months ago(no commit message)
lkcl [Sat, 25 Nov 2023 08:48:41 +0000 (08:48 +0000)]

6 months ago(no commit message)
lkcl [Sat, 25 Nov 2023 07:46:50 +0000 (07:46 +0000)]

6 months ago(no commit message)
lkcl [Sat, 25 Nov 2023 07:31:46 +0000 (07:31 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:57:48 +0000 (21:57 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:53:40 +0000 (21:53 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:46:34 +0000 (21:46 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:45:31 +0000 (21:45 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:44:57 +0000 (21:44 +0000)]

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 21:40:53 +0000 (21:40 +0000)]

6 months agoFix formatting, add time
Andrey Miroshnikov [Fri, 24 Nov 2023 19:03:31 +0000 (19:03 +0000)]
Fix formatting, add time

6 months agoAdd meeting time
Andrey Miroshnikov [Fri, 24 Nov 2023 19:02:42 +0000 (19:02 +0000)]
Add meeting time

6 months agoForgot to remove section, already in next day's notes
Andrey Miroshnikov [Fri, 24 Nov 2023 18:55:42 +0000 (18:55 +0000)]
Forgot to remove section, already in next day's notes

6 months agoFix up the existing sync-up links. Add blank for next week
Andrey Miroshnikov [Fri, 24 Nov 2023 18:53:56 +0000 (18:53 +0000)]
Fix up the existing sync-up links. Add blank for next week

6 months agoAdd meeting notes from two hours ago.
Andrey Miroshnikov [Fri, 24 Nov 2023 18:35:23 +0000 (18:35 +0000)]
Add meeting notes from two hours ago.

6 months ago(no commit message)
lkcl [Fri, 24 Nov 2023 17:53:16 +0000 (17:53 +0000)]