From 0558924a3a25c95542ee684b8c8edc05213ca30a Mon Sep 17 00:00:00 2001 From: Tobias Platen Date: Mon, 4 Jan 2021 18:58:31 +0100 Subject: [PATCH] test_countzero.py: rename output files --- src/soc/fu/logical/test/test_countzero.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/soc/fu/logical/test/test_countzero.py b/src/soc/fu/logical/test/test_countzero.py index 3a78fd8f..62376ae6 100644 --- a/src/soc/fu/logical/test/test_countzero.py +++ b/src/soc/fu/logical/test/test_countzero.py @@ -87,7 +87,7 @@ class ZeroCounterTestCase(FHDLTestCase): sim.add_process(process) # or sim.add_sync_process(process), see below # run test and write vcd - fn = "genullnau" + fn = "countzero" with sim.write_vcd(fn+".vcd", fn+".gtkw", traces=dut.ports()): sim.run() -- 2.30.2