From 0ef01b128227d2e551268438ff8132f9a255f9a0 Mon Sep 17 00:00:00 2001 From: whitequark Date: Thu, 5 Nov 2020 07:36:13 +0000 Subject: [PATCH] =?utf8?q?vendor.quicklogic:=20part=E2=86=92package?= MIME-Version: 1.0 Content-Type: text/plain; charset=utf8 Content-Transfer-Encoding: 8bit --- nmigen/vendor/quicklogic.py | 11 +++++++---- 1 file changed, 7 insertions(+), 4 deletions(-) diff --git a/nmigen/vendor/quicklogic.py b/nmigen/vendor/quicklogic.py index 9de665f..c8bd5cb 100644 --- a/nmigen/vendor/quicklogic.py +++ b/nmigen/vendor/quicklogic.py @@ -12,6 +12,7 @@ class QuicklogicPlatform(TemplatedPlatform): """ Symbiflow toolchain ------------------- + Required tools: * ``symbiflow_synth`` * ``symbiflow_pack`` @@ -19,14 +20,16 @@ class QuicklogicPlatform(TemplatedPlatform): * ``symbiflow_route`` * ``symbiflow_write_fasm`` * ``symbiflow_write_bitstream`` + The environment is populated by running the script specified in the environment variable ``NMIGEN_ENV_QLSymbiflow``, if present. + Available overrides: * ``add_constraints``: inserts commands in XDC file. """ device = abstractproperty() - part = abstractproperty() + package = abstractproperty() # Since the QuickLogic version of SymbiFlow toolchain is not upstreamed yet # we should distinguish the QuickLogic version from mainline one. @@ -82,7 +85,7 @@ class QuicklogicPlatform(TemplatedPlatform): -v {% for file in platform.iter_extra_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v -d {{platform.device}} -p {{name}}.pcf - -P {{platform.part}} + -P {{platform.package}} -x {{name}}.xdc """, r""" @@ -97,7 +100,7 @@ class QuicklogicPlatform(TemplatedPlatform): -d {{platform.device}} -p {{name}}.pcf -n {{name}}.net - -P {{platform.part}} + -P {{platform.package}} -s {{name}}.sdc """, r""" @@ -116,7 +119,7 @@ class QuicklogicPlatform(TemplatedPlatform): {{invoke_tool("symbiflow_write_bitstream")}} -f {{name}}.fasm -d {{platform.device}} - -P {{platform.part}} + -P {{platform.package}} -b {{name}}.bit """ ] -- 2.30.2