From 44fa1ae0b4f557f069ed2f7738c1a4377b114d25 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Mon, 30 Jul 2018 11:38:22 +0100 Subject: [PATCH] add always ready/enabled to get/put --- src/lib/ifc_sync.bsv | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/lib/ifc_sync.bsv b/src/lib/ifc_sync.bsv index c179206..49da823 100644 --- a/src/lib/ifc_sync.bsv +++ b/src/lib/ifc_sync.bsv @@ -5,7 +5,9 @@ package ifc_sync; (*always_ready,always_enabled*) interface Ifc_sync#(type a); + (*always_ready,always_enabled*) interface Put#(a) put; + (*always_ready,always_enabled*) interface Get#(a) get; endinterface module mksyncconnection#(Clock putclock, Reset putreset, -- 2.30.2