From 5ff9317acf20b8066731fe928ceef88fb39a23ad Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 24 May 2019 14:00:00 +0100 Subject: [PATCH] add delay on branches --- src/experiment/compalu.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/experiment/compalu.py b/src/experiment/compalu.py index 1df0cc25..ba377b80 100644 --- a/src/experiment/compalu.py +++ b/src/experiment/compalu.py @@ -105,6 +105,8 @@ class ComputationUnitNoDelay(Elaboratable): m.d.sync += self.counter.eq(5) with m.Elif(self.oper_i == 3): # SHIFT to take 7 m.d.sync += self.counter.eq(7) + with m.Elif(counter >= 4): # Branches to take 6 (to test shadow) + m.d.sync += self.counter.eq(6) with m.Else(): # ADD/SUB to take 2 m.d.sync += self.counter.eq(2) with m.If(self.counter > 1): -- 2.30.2