From 69a96bad42316114b5611ee65585e62ef4ca541a Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Tue, 7 May 2019 06:40:15 +0100 Subject: [PATCH] move main python code to src directory --- {TLB => src/TLB}/.gitignore | 0 {TLB => src/TLB}/src/AddressEncoder.py | 0 {TLB => src/TLB}/src/Cam.py | 0 {TLB => src/TLB}/src/CamEntry.py | 0 {TLB => src/TLB}/src/LFSR.py | 0 {TLB => src/TLB}/src/LFSR.pyi | 0 {TLB => src/TLB}/src/Makefile | 0 {TLB => src/TLB}/src/MemorySet.py | 0 {TLB => src/TLB}/src/PermissionValidator.py | 0 {TLB => src/TLB}/src/PteEntry.py | 0 {TLB => src/TLB}/src/SetAssociativeCache.py | 0 {TLB => src/TLB}/src/TLB.py | 0 {TLB => src/TLB}/src/__init__.py | 0 {TLB => src/TLB}/src/ariane/TreePLRU.cpp | 0 {TLB => src/TLB}/src/ariane/p_lru.txt | 0 {TLB => src/TLB}/src/ariane/src/exceptcause.py | 0 {TLB => src/TLB}/src/ariane/src/mmu.py | 0 {TLB => src/TLB}/src/ariane/src/plru.py | 0 {TLB => src/TLB}/src/ariane/src/ptw.py | 0 {TLB => src/TLB}/src/ariane/src/tlb.py | 0 {TLB => src/TLB}/src/ariane/src/tlb_content.py | 0 {TLB => src/TLB}/src/ariane/test/test_plru.py | 0 {TLB => src/TLB}/src/ariane/test/test_ptw.py | 0 {TLB => src/TLB}/src/ariane/test/test_tlb.py | 0 {TLB => src/TLB}/test/__init__.py | 0 {TLB => src/TLB}/test/test_LFSR2.py | 0 {TLB => src/TLB}/test/test_address_encoder.py | 0 {TLB => src/TLB}/test/test_cam.py | 0 {TLB => src/TLB}/test/test_cam_entry.py | 0 {TLB => src/TLB}/test/test_lfsr.py | 0 {TLB => src/TLB}/test/test_permission_validator.py | 0 {TLB => src/TLB}/test/test_pte_entry.py | 0 {TLB => src/TLB}/test/test_set_associative_cache.py | 0 {TestUtil => src/TestUtil}/test_helper.py | 0 {scoreboard => src/scoreboard}/dependence_cell.py | 0 {scoreboard => src/scoreboard}/fn_unit.py | 0 {scoreboard => src/scoreboard}/fu_dep_cell.py | 0 {scoreboard => src/scoreboard}/fu_fu_matrix.py | 0 {scoreboard => src/scoreboard}/fu_picker_vec.py | 0 {scoreboard => src/scoreboard}/fu_reg_matrix.py | 0 {scoreboard => src/scoreboard}/fu_wr_pending.py | 0 {scoreboard => src/scoreboard}/global_pending.py | 0 {scoreboard => src/scoreboard}/group_picker.py | 0 {scoreboard => src/scoreboard}/issue_unit.py | 0 {scoreboard => src/scoreboard}/ldst_dep_cell.py | 0 {scoreboard => src/scoreboard}/ldst_matrix.py | 0 {scoreboard => src/scoreboard}/reg_select.py | 0 {scoreboard => src/scoreboard}/shadow_fn.py | 0 48 files changed, 0 insertions(+), 0 deletions(-) rename {TLB => src/TLB}/.gitignore (100%) rename {TLB => src/TLB}/src/AddressEncoder.py (100%) rename {TLB => src/TLB}/src/Cam.py (100%) rename {TLB => src/TLB}/src/CamEntry.py (100%) rename {TLB => src/TLB}/src/LFSR.py (100%) rename {TLB => src/TLB}/src/LFSR.pyi (100%) rename {TLB => src/TLB}/src/Makefile (100%) rename {TLB => src/TLB}/src/MemorySet.py (100%) rename {TLB => src/TLB}/src/PermissionValidator.py (100%) rename {TLB => src/TLB}/src/PteEntry.py (100%) rename {TLB => src/TLB}/src/SetAssociativeCache.py (100%) rename {TLB => src/TLB}/src/TLB.py (100%) rename {TLB => src/TLB}/src/__init__.py (100%) rename {TLB => src/TLB}/src/ariane/TreePLRU.cpp (100%) rename {TLB => src/TLB}/src/ariane/p_lru.txt (100%) rename {TLB => src/TLB}/src/ariane/src/exceptcause.py (100%) rename {TLB => src/TLB}/src/ariane/src/mmu.py (100%) rename {TLB => src/TLB}/src/ariane/src/plru.py (100%) rename {TLB => src/TLB}/src/ariane/src/ptw.py (100%) rename {TLB => src/TLB}/src/ariane/src/tlb.py (100%) rename {TLB => src/TLB}/src/ariane/src/tlb_content.py (100%) rename {TLB => src/TLB}/src/ariane/test/test_plru.py (100%) rename {TLB => src/TLB}/src/ariane/test/test_ptw.py (100%) rename {TLB => src/TLB}/src/ariane/test/test_tlb.py (100%) rename {TLB => src/TLB}/test/__init__.py (100%) rename {TLB => src/TLB}/test/test_LFSR2.py (100%) rename {TLB => src/TLB}/test/test_address_encoder.py (100%) rename {TLB => src/TLB}/test/test_cam.py (100%) rename {TLB => src/TLB}/test/test_cam_entry.py (100%) rename {TLB => src/TLB}/test/test_lfsr.py (100%) rename {TLB => src/TLB}/test/test_permission_validator.py (100%) rename {TLB => src/TLB}/test/test_pte_entry.py (100%) rename {TLB => src/TLB}/test/test_set_associative_cache.py (100%) rename {TestUtil => src/TestUtil}/test_helper.py (100%) rename {scoreboard => src/scoreboard}/dependence_cell.py (100%) rename {scoreboard => src/scoreboard}/fn_unit.py (100%) rename {scoreboard => src/scoreboard}/fu_dep_cell.py (100%) rename {scoreboard => src/scoreboard}/fu_fu_matrix.py (100%) rename {scoreboard => src/scoreboard}/fu_picker_vec.py (100%) rename {scoreboard => src/scoreboard}/fu_reg_matrix.py (100%) rename {scoreboard => src/scoreboard}/fu_wr_pending.py (100%) rename {scoreboard => src/scoreboard}/global_pending.py (100%) rename {scoreboard => src/scoreboard}/group_picker.py (100%) rename {scoreboard => src/scoreboard}/issue_unit.py (100%) rename {scoreboard => src/scoreboard}/ldst_dep_cell.py (100%) rename {scoreboard => src/scoreboard}/ldst_matrix.py (100%) rename {scoreboard => src/scoreboard}/reg_select.py (100%) rename {scoreboard => src/scoreboard}/shadow_fn.py (100%) diff --git a/TLB/.gitignore b/src/TLB/.gitignore similarity index 100% rename from TLB/.gitignore rename to src/TLB/.gitignore diff --git a/TLB/src/AddressEncoder.py b/src/TLB/src/AddressEncoder.py similarity index 100% rename from TLB/src/AddressEncoder.py rename to src/TLB/src/AddressEncoder.py diff --git a/TLB/src/Cam.py b/src/TLB/src/Cam.py similarity index 100% rename from TLB/src/Cam.py rename to src/TLB/src/Cam.py diff --git a/TLB/src/CamEntry.py b/src/TLB/src/CamEntry.py similarity index 100% rename from TLB/src/CamEntry.py rename to src/TLB/src/CamEntry.py diff --git a/TLB/src/LFSR.py b/src/TLB/src/LFSR.py similarity index 100% rename from TLB/src/LFSR.py rename to src/TLB/src/LFSR.py diff --git a/TLB/src/LFSR.pyi b/src/TLB/src/LFSR.pyi similarity index 100% rename from TLB/src/LFSR.pyi rename to src/TLB/src/LFSR.pyi diff --git a/TLB/src/Makefile b/src/TLB/src/Makefile similarity index 100% rename from TLB/src/Makefile rename to src/TLB/src/Makefile diff --git a/TLB/src/MemorySet.py b/src/TLB/src/MemorySet.py similarity index 100% rename from TLB/src/MemorySet.py rename to src/TLB/src/MemorySet.py diff --git a/TLB/src/PermissionValidator.py b/src/TLB/src/PermissionValidator.py similarity index 100% rename from TLB/src/PermissionValidator.py rename to src/TLB/src/PermissionValidator.py diff --git a/TLB/src/PteEntry.py b/src/TLB/src/PteEntry.py similarity index 100% rename from TLB/src/PteEntry.py rename to src/TLB/src/PteEntry.py diff --git a/TLB/src/SetAssociativeCache.py b/src/TLB/src/SetAssociativeCache.py similarity index 100% rename from TLB/src/SetAssociativeCache.py rename to src/TLB/src/SetAssociativeCache.py diff --git a/TLB/src/TLB.py b/src/TLB/src/TLB.py similarity index 100% rename from TLB/src/TLB.py rename to src/TLB/src/TLB.py diff --git a/TLB/src/__init__.py b/src/TLB/src/__init__.py similarity index 100% rename from TLB/src/__init__.py rename to src/TLB/src/__init__.py diff --git a/TLB/src/ariane/TreePLRU.cpp b/src/TLB/src/ariane/TreePLRU.cpp similarity index 100% rename from TLB/src/ariane/TreePLRU.cpp rename to src/TLB/src/ariane/TreePLRU.cpp diff --git a/TLB/src/ariane/p_lru.txt b/src/TLB/src/ariane/p_lru.txt similarity index 100% rename from TLB/src/ariane/p_lru.txt rename to src/TLB/src/ariane/p_lru.txt diff --git a/TLB/src/ariane/src/exceptcause.py b/src/TLB/src/ariane/src/exceptcause.py similarity index 100% rename from TLB/src/ariane/src/exceptcause.py rename to src/TLB/src/ariane/src/exceptcause.py diff --git a/TLB/src/ariane/src/mmu.py b/src/TLB/src/ariane/src/mmu.py similarity index 100% rename from TLB/src/ariane/src/mmu.py rename to src/TLB/src/ariane/src/mmu.py diff --git a/TLB/src/ariane/src/plru.py b/src/TLB/src/ariane/src/plru.py similarity index 100% rename from TLB/src/ariane/src/plru.py rename to src/TLB/src/ariane/src/plru.py diff --git a/TLB/src/ariane/src/ptw.py b/src/TLB/src/ariane/src/ptw.py similarity index 100% rename from TLB/src/ariane/src/ptw.py rename to src/TLB/src/ariane/src/ptw.py diff --git a/TLB/src/ariane/src/tlb.py b/src/TLB/src/ariane/src/tlb.py similarity index 100% rename from TLB/src/ariane/src/tlb.py rename to src/TLB/src/ariane/src/tlb.py diff --git a/TLB/src/ariane/src/tlb_content.py b/src/TLB/src/ariane/src/tlb_content.py similarity index 100% rename from TLB/src/ariane/src/tlb_content.py rename to src/TLB/src/ariane/src/tlb_content.py diff --git a/TLB/src/ariane/test/test_plru.py b/src/TLB/src/ariane/test/test_plru.py similarity index 100% rename from TLB/src/ariane/test/test_plru.py rename to src/TLB/src/ariane/test/test_plru.py diff --git a/TLB/src/ariane/test/test_ptw.py b/src/TLB/src/ariane/test/test_ptw.py similarity index 100% rename from TLB/src/ariane/test/test_ptw.py rename to src/TLB/src/ariane/test/test_ptw.py diff --git a/TLB/src/ariane/test/test_tlb.py b/src/TLB/src/ariane/test/test_tlb.py similarity index 100% rename from TLB/src/ariane/test/test_tlb.py rename to src/TLB/src/ariane/test/test_tlb.py diff --git a/TLB/test/__init__.py b/src/TLB/test/__init__.py similarity index 100% rename from TLB/test/__init__.py rename to src/TLB/test/__init__.py diff --git a/TLB/test/test_LFSR2.py b/src/TLB/test/test_LFSR2.py similarity index 100% rename from TLB/test/test_LFSR2.py rename to src/TLB/test/test_LFSR2.py diff --git a/TLB/test/test_address_encoder.py b/src/TLB/test/test_address_encoder.py similarity index 100% rename from TLB/test/test_address_encoder.py rename to src/TLB/test/test_address_encoder.py diff --git a/TLB/test/test_cam.py b/src/TLB/test/test_cam.py similarity index 100% rename from TLB/test/test_cam.py rename to src/TLB/test/test_cam.py diff --git a/TLB/test/test_cam_entry.py b/src/TLB/test/test_cam_entry.py similarity index 100% rename from TLB/test/test_cam_entry.py rename to src/TLB/test/test_cam_entry.py diff --git a/TLB/test/test_lfsr.py b/src/TLB/test/test_lfsr.py similarity index 100% rename from TLB/test/test_lfsr.py rename to src/TLB/test/test_lfsr.py diff --git a/TLB/test/test_permission_validator.py b/src/TLB/test/test_permission_validator.py similarity index 100% rename from TLB/test/test_permission_validator.py rename to src/TLB/test/test_permission_validator.py diff --git a/TLB/test/test_pte_entry.py b/src/TLB/test/test_pte_entry.py similarity index 100% rename from TLB/test/test_pte_entry.py rename to src/TLB/test/test_pte_entry.py diff --git a/TLB/test/test_set_associative_cache.py b/src/TLB/test/test_set_associative_cache.py similarity index 100% rename from TLB/test/test_set_associative_cache.py rename to src/TLB/test/test_set_associative_cache.py diff --git a/TestUtil/test_helper.py b/src/TestUtil/test_helper.py similarity index 100% rename from TestUtil/test_helper.py rename to src/TestUtil/test_helper.py diff --git a/scoreboard/dependence_cell.py b/src/scoreboard/dependence_cell.py similarity index 100% rename from scoreboard/dependence_cell.py rename to src/scoreboard/dependence_cell.py diff --git a/scoreboard/fn_unit.py b/src/scoreboard/fn_unit.py similarity index 100% rename from scoreboard/fn_unit.py rename to src/scoreboard/fn_unit.py diff --git a/scoreboard/fu_dep_cell.py b/src/scoreboard/fu_dep_cell.py similarity index 100% rename from scoreboard/fu_dep_cell.py rename to src/scoreboard/fu_dep_cell.py diff --git a/scoreboard/fu_fu_matrix.py b/src/scoreboard/fu_fu_matrix.py similarity index 100% rename from scoreboard/fu_fu_matrix.py rename to src/scoreboard/fu_fu_matrix.py diff --git a/scoreboard/fu_picker_vec.py b/src/scoreboard/fu_picker_vec.py similarity index 100% rename from scoreboard/fu_picker_vec.py rename to src/scoreboard/fu_picker_vec.py diff --git a/scoreboard/fu_reg_matrix.py b/src/scoreboard/fu_reg_matrix.py similarity index 100% rename from scoreboard/fu_reg_matrix.py rename to src/scoreboard/fu_reg_matrix.py diff --git a/scoreboard/fu_wr_pending.py b/src/scoreboard/fu_wr_pending.py similarity index 100% rename from scoreboard/fu_wr_pending.py rename to src/scoreboard/fu_wr_pending.py diff --git a/scoreboard/global_pending.py b/src/scoreboard/global_pending.py similarity index 100% rename from scoreboard/global_pending.py rename to src/scoreboard/global_pending.py diff --git a/scoreboard/group_picker.py b/src/scoreboard/group_picker.py similarity index 100% rename from scoreboard/group_picker.py rename to src/scoreboard/group_picker.py diff --git a/scoreboard/issue_unit.py b/src/scoreboard/issue_unit.py similarity index 100% rename from scoreboard/issue_unit.py rename to src/scoreboard/issue_unit.py diff --git a/scoreboard/ldst_dep_cell.py b/src/scoreboard/ldst_dep_cell.py similarity index 100% rename from scoreboard/ldst_dep_cell.py rename to src/scoreboard/ldst_dep_cell.py diff --git a/scoreboard/ldst_matrix.py b/src/scoreboard/ldst_matrix.py similarity index 100% rename from scoreboard/ldst_matrix.py rename to src/scoreboard/ldst_matrix.py diff --git a/scoreboard/reg_select.py b/src/scoreboard/reg_select.py similarity index 100% rename from scoreboard/reg_select.py rename to src/scoreboard/reg_select.py diff --git a/scoreboard/shadow_fn.py b/src/scoreboard/shadow_fn.py similarity index 100% rename from scoreboard/shadow_fn.py rename to src/scoreboard/shadow_fn.py -- 2.30.2