From 8f0411b61af9ee2ffcced7d710d88c5826bcee78 Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Fri, 24 May 2019 14:01:23 +0100 Subject: [PATCH] add delay on branches --- src/experiment/compalu.py | 2 +- src/experiment/score6600.py | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/experiment/compalu.py b/src/experiment/compalu.py index ba377b80..12e519f0 100644 --- a/src/experiment/compalu.py +++ b/src/experiment/compalu.py @@ -105,7 +105,7 @@ class ComputationUnitNoDelay(Elaboratable): m.d.sync += self.counter.eq(5) with m.Elif(self.oper_i == 3): # SHIFT to take 7 m.d.sync += self.counter.eq(7) - with m.Elif(counter >= 4): # Branches to take 6 (to test shadow) + with m.Elif(self.counter >= 4): # Branches take 6 (to test shadow) m.d.sync += self.counter.eq(6) with m.Else(): # ADD/SUB to take 2 m.d.sync += self.counter.eq(2) diff --git a/src/experiment/score6600.py b/src/experiment/score6600.py index a34bf4c5..a7251134 100644 --- a/src/experiment/score6600.py +++ b/src/experiment/score6600.py @@ -603,7 +603,7 @@ def scoreboard_sim(dut, alusim): #src2 = 3 #dest = 2 - op = randint(0, 3) + op = randint(0, 7) #op = i % 2 #op = 0 -- 2.30.2