From 920750167e9e0708d01376e02b93bdb2dc91e07e Mon Sep 17 00:00:00 2001 From: Luke Kenneth Casson Leighton Date: Tue, 26 Jun 2018 12:40:30 +0100 Subject: [PATCH] add DRAM --- shakti/m_class/DRAM.mdwn | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 shakti/m_class/DRAM.mdwn diff --git a/shakti/m_class/DRAM.mdwn b/shakti/m_class/DRAM.mdwn new file mode 100644 index 000000000..9a49a3757 --- /dev/null +++ b/shakti/m_class/DRAM.mdwn @@ -0,0 +1,2 @@ +https://github.com/VLSIDA/OpenRAM/blob/master/OpenRAM_ICCAD_2016_paper.pdf + -- 2.30.2